搜档网
当前位置:搜档网 › PCB系统设计指南

PCB系统设计指南

PCB系统设计指南
PCB系统设计指南

高速PCB设计指南之八

第一篇掌握IC封装的特性以达到最佳EMI抑制性能

将去耦电容直接放在IC封装内可以有效控制EMI并提高信号的完整性,本文从IC内部封装入手,分析EMI的来源、IC封装在EMI控制中的作用,进而提出11个有效控制EMI的设计规则,包括封装选择、引脚结构考虑、输出驱动器以及去耦电容的设计方法等,有助于设计工程师在新的设计中选择最合适的集成电路芯片,以达到最佳EMI抑制的性能。现有的系统级EMI控制技术包括:

(1)电路封闭在一个Faraday盒中(注意包含电路的机械封装应该密封)来实现EMI 屏蔽;

(2)电路板或者系统的I/O端口上采取滤波和衰减技术来实现EMI控制;

(3)现电路的电场和磁场的严格屏蔽,或者在电路板上采取适当的设计技术严格控制PCB走线和电路板层(自屏蔽)的电容和电感,从而改善EMI性能。

EMI控制通常需要结合运用上述的各项技术。一般来说,越接近EMI源,实现EMI控制所需的成本就越小。PCB上的集成电路芯片是EMI最主要的能量来源,因此如果能够深入了解集成电路芯片的内部特征,可以简化PCB和系统级设计中的EMI控制。

PCB板级和系统级的设计工程师通常认为,它们能够接触到的EMI来源就是PCB。显然,在PCB设计层面,确实可以做很多的工作来改善EMI。然而在考虑EMI控制时,设计工程师首先应该考虑IC芯片的选择。集成电路的某些特征如封装类型、偏置电压和芯片的工艺技术(例如CMOS、ECL、TTL)等都对电磁干扰有很大的影响。本文将着重讨论这些问题,并且探讨IC对EMI控制的影响。

1、EMI的来源?数字集成电路从逻辑高到逻辑低之间转换或者从逻辑低到逻辑高之间转换过程中,输出端产生的方波信号频率并不是导致EMI的唯一频率成分。该方波中包含频率范围宽广的正弦谐波分量,这些正弦谐波分量构成工程师所关心的EMI频率成分。最高EMI频率也称为EMI发射带宽,它是信号上升时间而不是信号频率的函数。计算EMI发射带宽的公式为:

F=0.35/Tr

其中:F是频率,单位是GHz;Tr是单位为ns(纳秒)的信号上升时间或者下降时间。

从上述公式中不难看出,如果电路的开关频率为50MHz,而采用的集成电路芯片的上升时间是1ns,那么该电路的最高EMI发射频率将达到350MHz,远远大于该电路的开关频率。而如果IC的上升时间为500ps,那么该电路的最高EMI发射频率将高达700MHz。众所周知,电路中的每一个电压值都对应一定的电流,同样每一个电流都存在对应的电压。当IC的输出在逻辑高到逻辑低或者逻辑低到逻辑高之间变换时,这些信号电压和信号电流就会产生电场和磁场,而这些电场和磁场的最高频率就是发射带宽。电场和磁场的强度以及对外辐射的百分比,不仅是信号上升时间的函数,同时也取决于对信号源到负载点之间信号通道上电容和电感的控制的好坏,在此,信号源位于PCB板的IC内部,而负载位于其它的IC内部,这些IC可能在PCB上,也可能不在该PCB上。为了有效地控制EMI,不仅需要关注IC 芯片自身的电容和电感,同样需要重视PCB上存在的电容和电感。?当信号电压与信号回路之间的耦合不紧密时,电路的电容就会减小,因而对电场的抑制作用就会减弱,从而使EM

I增大;电路中的电流也存在同样的情况,如果电流同返回路径之间耦合不佳,势必加大回路上的电感,从而增强了磁场,最终导致EMI增加。换句话说,对电场控制不佳通常也会导致磁场抑制不佳。用来控制电路板中电磁场的措施与用来抑制IC封装中电磁场的措施大体相似。正如同PCB设计的情况,IC封装设计将极大地影响EMI。

电路中相当一部分电磁辐射是由电源总线中的电压瞬变造成的。当IC的输出级发生跳变并驱动相连的PCB线为逻辑“高”时,IC芯片将从电源中吸纳电流,提供输出级所需的能量。对于IC不断转换所产生的超高频电流而言,电源总线始于PCB上的去耦网络,止于IC的输出级。如果输出级的信号上升时间为1.0ns,那么IC要在1.0ns这么短的时间内从电源上吸纳足够的电流来驱动PCB上的传输线。电源总线上电压的瞬变取决于电源总线路径上的电感、吸纳的电流以及电流的传输时间。电压的瞬变由下面的公式所定义:?V=Ldi/d t,

其中:L是电流传输路径上电感的值;di表示信号上升时间间隔内电流的变化;dt表示电流的传输时间(信号的上升时间)。?由于IC管脚以及内部电路都是电源总线的一部分,而且吸纳电流和输出信号的上升时间也在一定程度上取决于IC的工艺技术,因此选择合适的IC就可以在很大程度上控制上述公式中提到的所有三个要素。?

2、IC封装在电磁干扰控制中的作用

IC封装通常包括:硅基芯片、一个小型的内部PCB以及焊盘。硅基芯片安装在小型的PCB上,通过绑定线实现硅基芯片与焊盘之间的连接,在某些封装中也可以实现直接连接。小型PCB实现硅基芯片上的信号和电源与IC封装上的对应管脚之间的连接,这样就实现了硅基芯片上信号和电源节点的对外延伸。贯穿该IC的电源和信号的传输路径包括:硅基芯片、与小型PCB之间的连线、PCB走线以及IC封装的输入和输出管脚。对电容和电感(对应于电场和磁场)控制的好坏在很大程度上取决于整个传输路径设计的好坏。某些设计特征将直接影响整个IC芯片封装的电容和电感。

首先看硅基芯片与内部小电路板之间的连接方式。许多的IC芯片都采用绑定线来实现硅基芯片与内部小电路板之间的连接,这是一种在硅基芯片与内部小电路板之间的极细的飞线。这种技术之所以应用广泛是因为硅基芯片和内部小电路板的热胀系数(CTE)相近。芯片本身是一种硅基器件,其热胀系数与典型的PCB材料(如环氧树脂)的热胀系数有很大的差别。如果硅基芯片的电气连接点直接安装在内部小PCB上的话,那么在一段相对较短的时间之后,IC封装内部温度的变化导致热胀冷缩,这种方式的连接就会因为断裂而失效。绑定线是一种适应这种特殊环境的引线方式,它可以承受大量的弯曲变形而不容易断裂。?采用绑定线的问题在于,每一个信号或者电源线的电流环路面积的增加将导致电感值升高。获得较低电感值的优良设计就是实现硅基芯片与内部PCB之间的直接连接,也就是说硅基芯片的连接点直接粘接在PCB的焊盘上。这就要求选择使用一种特殊的PCB板基材料,这种材料应该具有极低的CTE。而选择这种材料将导致IC芯片整体成本的增加,因而采用这种工艺技术的芯片并不常见,但是只要这种将硅基芯片与载体PCB直接连接的IC存在并且在设计方案中可行,那么采用这样的IC器件就是较好的选择。?一般来说,在IC封装设计中,降低电感并且增大信号与对应回路之间或者电源与地之间电容是选择集成电路芯片过程的首选考虑。举例来说,小间距的表面贴装与大间距的表面贴装工艺相比,应该优先考虑选择采用小间距的表面贴装工艺封装的IC芯片,而这两种类型的表面贴装工艺封装的IC芯片都优于过孔引线类型的封装。BGA封装的IC芯片同任何常用的封装类型相比具有最低的引线电感。从电容和电感控制的角度来看,小型的封装和更细的间距通常总是代表性能的提高。?引线结构设计的一个重要特征是管脚的分配。由于电感和电容值的大小都取决于信号或者是电源与返回路径之间的接近程度,因此要考虑足够多的返回路径。

电源和地管脚应该成对分配,每一个电源管脚都应该有对应的地管脚相邻分布,

pcb设计心得体会范文

pcb设计心得体会范文 一些基本操作,对更深层的有些就不是很了解了。但是时间有限,只有一个星期实训pcb电路板,老师能教给我们的也只有这么多了,剩下的只有靠我们自己回去自己学习了,作为电子工程系的一名学生,深知掌握这些装也软件的重要性,因为以后我们从事的技术工作需要这些软件工具。 第一天搭接电路,还比较简单,只是有点麻烦,电路搭接好后就要开始封装各个元器件的封装,这就需要很大的耐心,一个一个元器件的进行封装,还不能弄错,不然后面就生成不了报表,生成不了报表,后面进行电路板设计的时候就会导入错误,以致不能进行电路板设计。后面用pcbediter 进行设计电路板设计要导入报表,然后才能开始布局和布线,由于导入的库文件里面没有sop8和sop28两个焊盘的封装,因此在进行设计电路板之前,要先设计那两个器件的焊盘的封装,然后导入库函数,才能导入报表的时候不会报错。不过导入的时候也遇到了一些问题,会提示二极管的管脚不匹配,譬如多一个2脚,少一个3角,然后就觉得很神奇,二极管就只有两个管脚怎么会有3脚了。后面通过老师的讲解,

才明白,原来设计电路板的时候只认封装,不认元器件,是根据封装导入元器件,因此在设计封装的时候,管脚是怎么设计,在原理图里面就要把元器件的管脚改成和封装一样,后面把原理图的管脚改成和导入库函数里面的封装一样,提示就没有了,不过后面又遇到一些小问题,譬如说,下划线写成横线了,然后就有报错,找不到元器件的封装。这给我警示,在原理图的时候,要仔细认真的把管脚封装写对,最然会很麻烦。后面导入报表,开始设计电路板,先开始是布局,大致步好后,然后就开始用软件自带的自动布线,结果发现有很多蝴蝶结,为什么要自动布线,因为最开始我认为如果自动布线可以的话,那手动布线肯定也可以,结果后面一直自动布线不成功。后面老师讲解,才知道,不一定要自动布线成功才能手动布线,浪费了好多时间,以至于后面都要重新排,因为最开始没有把原理图的元器件分块布局,完全是凭感觉乱布局的,后面就是一大片密密麻麻的线,而且很多元器件接点的线都有点长。后面按块先布局,然后再整体布局,然后再微小变动,这样,线明显变少了,而且元器件的接点的线都很少很长了,这样就方便后面的布线了。所以说,布局那是相当的重要啊,先考虑局部,然后再考虑整体。布局步好后,布线就很快了,也没有花多少时间布局,步好后,看了下,还是感觉蛮好的,再没有布电源和地线的情况下,总共打了21个孔,总之,布线的图看起还是蛮自

高速PCB设计指南

高速PCB设计指南 第一篇 PCB布线 在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程限定最高,技巧最细、工作量最大。PCB布线有单面布线、双面布线及多层布线。布线的方式也有两种:自动布线及交互式布线,在自动布线之前,可以用交互式预先对要求比较严格的线进行布线,输入端与输出端的边线应避免相邻平行,以免产生反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。 自动布线的布通率,依赖于良好的布局,布线规则可以预先设定,包括走线的弯曲次数、导通孔的数目、步进的数目等。一般先进行探索式布经线,快速地把短线连通,然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要断开已布的线。并试着重新再布线,以改进总体效果。 对目前高密度的PCB设计已感觉到贯通孔不太适应了,它浪费了许多宝贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技术,它不仅完成了导通孔的作用,还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过程是一个复杂而又简单的过程,要想很好地掌握它,还需广大电子工程设计人员去自已体会,才能得到其中的真谛。 1 电源、地线的处理

既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作以表述: (1)、众所周知的是在电源、地线之间加上去耦电容。 (2)、尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线,通常信号线宽为:0.2~0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm 对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个地网来使用(模拟电路的地不能这样使用) (3)、用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用一层。 2 数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合构成的。因此在布线时就需要考虑它们之间互相干扰问题,特别是地线上的噪音干扰。 数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人PCB对外界只有一个

今天终于弄懂了PCB高速电路板设计的方法和技巧

[讨论]今天终于弄懂了PCB高速电路板设计的方法和技巧受益匪浅啊 电容, 最大功率, 技巧 高速电路设计技术阻抗匹配是指负载阻抗与激励源内部阻抗互相适配,并且得到最大功率输出的一种工作状态。高速PCB布线时,为了防止信号的反射,要求线路的阻抗为50Ω。这是个大约的数字,一般规定同轴电缆基带50Ω,频带75Ω,对绞线则为100Ω,只是取整数而已,为了匹配方便。根据具体的电路分析采用并行AC端接,使用电阻和电容网络作为端接阻抗,端接电阻R要小于等于传输线阻抗Z0,电容C必须大于100pF,推荐使用0.1UF的多层陶瓷电容。电容有阻低频、通高频的作用,因此电阻R不是驱动源的直流负载,故这种端接方式无任何直流功耗。 串扰是指当信号在传输线上传播时,因电磁耦合对相邻的传输线产生不期望的电压噪声干扰。耦合分为容性耦合和感性耦合,过大的串扰可能引起电路的误触发,导致系统无法正常工作。根据串扰的一些特性,可以归纳出几种减小串扰的方法: 1、加大线间距,减小平行长度,必要时采用jog 方式布线。 2、高速信号线在满足条件的情况下,加入端接匹配可以减小或消除反射,从而减小串扰。 3、对于微带传输线和带状传输线,将走线高度限制在高于地线平面范围要求以内,可以显著减小串扰。 4、在布线空间允许的条件下,在串扰较严重的两条线之间插入一条地线,可以起到隔离的作用,从而减小串扰。传统的PCB设计由于缺乏高速分析和仿真指导,信号的质量无法得到保证,而且大部分问题必须等到制版测试后才能发现。这大大降低了设计的效率,提高了成本,在激烈的市场竞争下显然是不利的。于是针对高速PCB设计,业界人士提出了一种新的设计思路,成为“自上而下”的设计方法,经过多方面的方针分析和优化,避免了绝大部分可能产生的问题,节省了大量的时间,确保满足工程预算,产生高质量的印制板,避免繁琐而高耗的测试检错等。利用差分线传输数字信号就是高速数字电路中控制破坏信号完整性因素的一项有效措施。在印制电路板(PCB抄板)上的差分线,等效于工作在准TEM模的差分的微波集成传输线对。其中,位于PCB顶层或底层的差分线等效于耦合微带线,位于多层PCB内层的差分线,等效于宽边耦合带状线。数字信号在差分线上传输时是奇模传输方式,即正负两路信号的相位差是180,而噪声以共模的方式在一对差分线上耦合出现,在接受器中正负两路的电压或电流相减,从而可以获得信号消除共模噪声。而差分线对的低压幅或电流驱动输出实现了高速集成低功耗的要求。

pcb设计心得体会范文

pcb设计心得体会范文 篇一:PCB电路板设计总结 经过五天的PCB电路板训练,通过对软件的使用,以及实际电路板的设计,对电路板有了更深的认识,知道了电路板的相关知识和实际工作原理。同时也感受到了电路板的强大能力,怪不得现在的电路都是采用集成的电路板电路,因为它实在是有太多的好处,节约空间,方便接线,能大大缩小电路的体积。方便人类小型电器的发明。但是电路板也有一定缺陷,就是太小了,散热不是特别好,这就使得器件的性能不能像想象中那么好。 通过使用,不得不说cadence软件确实很好用,功能太强大,而且也很方便使用,接线,布线,绘制电路板等,很方便使用,不过有一点就是,器件接线的时候不能直接把器件接到导线上,这点不够人性化。虽然说,软件学了五天时间,不过对软件使用还不是能完全掌握,只能掌握一些基本操作,对更深层的有些就不是很了解了。但是时间有限,只有一个星期实训PCB电路板,老师能教给我们的也只有这么多了,剩下的只有靠我们自己回去自己学习了,作为电子工程系的一名学生,深知掌握这些装也软件的重要性,因为以后我们从事的技术工作需要这些软件工具。 第一天搭接电路,还比较简单,只是有点麻烦,电路搭接好后就要开始封装各个元器件的封装,这就需要很大的耐心,一个一个元器件的进行封装,还不能弄错,不然后面就生成不了报表,生成不了报

表,后面进行电路板设计的时候就会导入错误,以致不能进行电路板设计。后面用PCB Editer 进行设计电路板设计要导入报表,然后才能开始布局和布线,由于导入的库文件里面没有sop8和sop28两个焊盘的封装,因此在进行设计电路板之前,要先设计那两个器件的焊盘的封装,然后导入库函数,才能导入报表的时候不会报错。不过导入的时候也遇到了一些问题,会提示二极管的管脚不匹配,譬如多一个2脚,少一个3角,然后就觉得很神奇,二极管就只有两个管脚怎么会有3脚了。后面通过老师的讲解,才明白,原来设计电路板的时候只认封装,不认元器件,是根据封装导入元器件,因此在设计封装的时候,管脚是怎么设计,在原理图里面就要把元器件的管脚改成和封装一样,后面把原理图的管脚改成和导入库函数里面的封装一样,提示就没有了,不过后面又遇到一些小问题,譬如说,下划线写成横线了,然后就有报错,找不到元器件的封装。这给我警示,在原理图的时候,要仔细认真的把管脚封装写对,最然会很麻烦。后面导入报表,开始设计电路板,先开始是布局,大致步好后,然后就开始用软件自带的自动布线,结果发现有很多蝴蝶结,为什么要自动布线,因为最开始我认为如果自动布线可以的话,那手动布线肯定也可以,结果后面一直自动布线不成功。后面老师讲解,才知道,不一定要自动布线成功才能手动布线,浪费了好多时间,以至于后面都要重新排,因为最开始没有把原理图的元器件分块布局,完全是凭感觉乱布局的,后面就是一大片密密麻麻的线,而且很多元器件接点的线都有点长。后面按块先布局,然后再整体布局,然后再微小变动,这样,线明显变

PCB设计原理及规范处理

PCB 设计规范二O 一O 年八月

目录 一.PCB 设计的布局规范- - - - - - - - - - - - - - - - - - - - - - - - -- - 3 ■布局设计原则- - - - - - - - - - - - - - - - - - - - - - - - - - - ------ - - 3 ■对布局设计的工艺要求- - - - - - - - - - - - - - - - - - - - - ------- - - 4 二.PCB 设计的布线规范- - - - - - - - - - - - - - - - - - - - - - - - - - 15 ■布线设计原则- - - - - - - - - - - - - - - - - - - - - - - - - - - ----- - - 15 ■对布线设计的工艺要求- - - - - - - - - - - - - - - - - - - - - - - ------ 16 三.PCB 设计的后处理规范- - - - - - - - - - - - - - - - - - - -- - - - - 25 ■测试点的添加- - - - - - - - - - - - - - - - - - - - - - - - - - ----- - - - 25 ■PCB 板的标注- - - - - - - - - - - - - - - - - - - - - - - - ----- - - - - 27 ■加工数据文件的生成- - - - - - - - - - - - - - - - - - - - - - ----- - - - 31 四.名词解释- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- - - 33 ■金属孔、非金属孔、导通孔、异形孔、装配孔- - - - - - - - - ---- - 33 ■定位孔和光学定位点- - - - - - - - - - - - - - - - - - - - - - - ------ - 33 ■负片(Negative)和正片(Positive)- - - - - - - - - - - --- - - - - 33 ■回流焊(Reflow Soldering)和波峰焊(Wave Solder)- - --- - - 34 ■PCB 和PBA - - - - - - - - - - - - - - - - - - - - - - - - - - ---- --- - - 34

干货-PCB设计经验总结-精

干货-PCB设计经验总结 随着新能源汽车的发展,汽车电气化越来越严重,相关的EMC问题也越来越突出,因此为了从根本上降低EMC的风险,需要从设计阶段尤其是PCB layout 入手,来防患于未然。下面是一位从业十余年的硬件工程师的经验笔记! 如觉得有帮助欢迎支持转发分享给更多需要的人! 叠层: 1.电源和地的平面尽可能近(利于电源噪声高频滤波) 2.信号层:避免两信号层相邻(如果必须相邻,加大两层间距); 3.电源层:避免两电源层相邻; 4.外层:铺地; 布线: 5.关键信号线:避免跨分割(参考平面); 6.关键信号线:“换层不换面(参考平面)”; 7.关键信号线:长度尽可能短; 8.关键信号线:位置远离PCB板边缘及接口; 9.信号线:不能跨越分割间隙布线(否则电磁辐射及信号串扰剧增);

10.信号线:换层(返回路径)必须跨分割时,须使用过孔或滤波电容(10nf); 11.总线:相同功能的并行布置,中间勿参杂其他信号; 12.接收发送信号:分开布线,勿交叉; 13.高速信号线:走线宽度勿突变; 14.电源:电源线不要形成环路(近似包裹的环路) 15.地:地线不要形成环路(近似包裹的环路); 16.地:干扰源的地勿与信号地就近共用(晶振等干扰源的地不干净); 17.地:多芯片并排共电源与地时,电源与地的主线路宜在芯片同侧(回流面积小); 18.分割:模拟地与数字地分割布线,建立“地连接桥”,如有必要进行磁珠滤波; 19.分割:电源/地平面分割需合理(否则高速信号存在EMI、EMC风险); 20.拐角走线:优选45度(降低拐角对走线阻抗影响) 21.拐角走线:长度越长越好(降低拐角对走线阻抗影响) 22.拐角走线:过孔处上下走线拐角要求同上; 23.高频干扰源:下方禁止布线(晶振、开关电源等干扰源); 24.高频干扰源:附近尽量避免布电源主路线(晶振、开关电源等干扰源); 25.接插件:下方禁止布线; 电源滤波: 26.滤波区域为原理信号区域(降低耦合); 27.高频滤波电容需靠近电源PIN脚(容值越小越近);

电源pcb设计指南包括PCB安规emc布局布线PCB热设计PCB工艺

电源pcb设计指南包括:PCB安规、emc、布局布线、PCB热设计、PCB工艺 导读 1.安规距离要求部分 2.抗干扰、EMC部分 3.整体布局及走线部分 4.热设计部分 5.工艺处理部分 1.安规距离要求部分 安全距离包括电气间隙(空间距离),爬电距离(沿面距离)和绝缘穿透距离。 1、电气间隙:两相邻导体或一个导体与相邻电机壳表面的沿空气测量的最短距离。 2、爬电距离:两相邻导体或一个导体与相邻电机壳表面的沿绝绝缘表面测量的最短距离。 一、爬电距离和电气间隙距离要求,可参考NE61347-1-2-13/GB19510.14. (1)、爬电距离:输入电压50V-250V时,保险丝前L—N≥2.5mm,输入电压250V-500V时,保险丝前L—N≥5.0mm;电气间隙:输入电压50V-250V时,保险丝前L—N≥1.7mm,输入电压250V-500V时,保险丝前L—N≥3.0mm;保险丝之后可不做要求,但尽量保持一定距离以避免短路损坏电源。 (2)、一次侧交流对直流部分≥2.0mm (3)、一次侧直流地对地≥4.0mm如一次侧地对大地 (4)、一次侧对二次侧≥6.4mm,如光耦、Y 电容等元器零件脚间距≤6.4mm 要开槽。 (5)、变压器两级间≥6.4mm 以上,≥8mm加强绝缘。 2.抗干扰、EMC部分 在图二中,PCB 布局时,驱动电阻R3应靠近Q1(MOS管),电流取样电阻R4、C2应靠近IC1的第4 Pin,如图一所说的R应尽量靠近运算放大器缩短高阻抗线路。因运算放大器输入端阻抗很高,易受干扰。输出端阻抗较低,不易受干扰。一条长线相当于一根接收天线,容易引入外界干扰。 在图三的A中排版时,R1、R2要靠近三极管Q1放置,因Q1的输入阻抗很高,基极线路过长,易受干扰,则R1、R2不能远离Q1。 在图三的B中排版时,C2要靠近D2,因为Q2三极管输入阻抗很高,如Q2至D2的线路太长,易受干扰,C2应移至D2附近。 二、小信号走线尽量远离大电流走线,忌平行,D>=2.0mm。 三、小信号线处理:电路板布线尽量集中,减少布板面积提高抗干扰能力。 四、一个电流回路走线尽可能减少包围面积。 如:电流取样信号线和来自光耦的信号线

PCB设计总结

PCB设计总结 、概述 PCB是一个连接电子元器件的载体。PCB设计是一个把原理设计上的电气连接变成实实在 在的,可用的线路连接。简单的PCB设计就是将器件的管脚按照一定的需要连通,但对于 高速,高密度的PCB设计,涉及到很多的方面,包括结构方面,信号完整性,EMC,EMI, 电源设计,加工工艺方面等等。 、布局 1材料 PCB材料很多,我们目前使用的基本都是FR4的,TG参数(高耐热性)是一个很重要的指 标,一般结构工程师会在他们提供的cutout里面给出TG参数的要求。 2合理的层数安排 一块板PCB层数多少合适,要基于生产成本和信号质量需求两方面考虑。对于速度低,密度小的板块,可以考虑层数少些,对于高速,高密度板,要尽可能多的安排完整的电地层,以保证较好的信号质量。 3电源层和地层 3.1、电源层和地层的作用和区别 电源层和地层都可以作为参考平面,在一定程度上来说他们是一样的。但是,相对来说,电源平面的特性阻抗较高,与参考平面存在较大的电位势差。而地平面作为地基准,地平面的屏蔽作用要远远好于电源屏幕,对于重要信号,最好选择地平面作为参考屏幕。 3.2、电源层,信号层,地层位置 A、第二层为地层,用于屏蔽器件(如果有更重要的信号需要地,可以进行调整) B、所有信号层都有参考平面。 C、最好不要相邻信号层,有的话,要安排信号走向为垂直方向。 D、关键信号参考平面为完整的地平面不跨分割区。

3.3、几种常用的板子的叠层方案 四层版 BOT 在该方案中表层具有较好的信号质量,对器件也有较好的屏蔽,使电源层和地层距离适当拉近,可以降低电源地的分布阻抗,保证电源地的去耦效果。 其它一些方案参考 paul wang发的一份emc规范。

高速PCB设计指南

高速PCB设计指南之一 第一篇PCB布线 在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程限定最高,技巧最细、工作量最大。PCB布线有单面布线、双面布线及多层布线。布线的方式也有两种:自动布线及交互式布线,在自动布线之前,可以用交互式预先对要求比较严格的线进行布线,输入端与输出端的边线应避免相邻平行,以免产生反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。自动布线的布通率,依赖于良好的布局,布线规则可以预先设定,包括走线的弯曲次数、导通孔的数目、步进的数目等。一般先进行探索式布经线,快速地把短线连通,然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要断开已布的线。并试着重新再布线,以改进总体效果。 对目前高密度的PCB设计已感觉到贯通孔不太适应了,它浪费了许多宝贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技术,它不仅完成了导通孔的作用,还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过程是一个复杂而又简单的过程,要想很好地掌握它,还需广大电子工程设计人员去自已体会,才能得到其中的真谛。 1 电源、地线的处理 既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作以表述: (1)、众所周知的是在电源、地线之间加上去耦电容。 (2)、尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线,通常信号线宽为:0.2~0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm 对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个地网来使用(模拟电路的地不能这样使用) (3)、用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用一层。 2 数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和

PCB-10年设计经验总结

电子产品设计经验总结之PCB设计 1. 根据线路板厂家的能力设定线路板基本参数 根据沧州一带线路板厂的水平,按下列参数设计线路板质量应能保证: *最小导线宽度:8mil; *最小导线间距:8mil; *最小过孔焊盘直径:30 mil; *最小过孔孔径:16 mil; * DRC检查最小间距:8mil; 2. 线路板布局 *固定孔和线路板外形按结构要求以公制尺寸绘制; *螺钉固定孔的焊盘要大于螺钉帽和螺母的直径,以M3的螺钉为例,其焊盘直径为6.5mm,钻孔直径为3.2mm。 *外围接插件位置要总体考虑,避免电缆错位、扭曲; *其他器件要以英制尺寸布置在最小25 mil的网格上,以利布线; *按功能把器件分成多个单元,在显示网络飞线的情况下把单元的各个器件定位; *把各个单元移到线路板的合适位置,利用块移动和旋转功能使大部分走线合理; *模拟电路与数字电路分片布置,数字部分的电流尽量不要穿越模拟区; *模拟电路按信号走向布置,大信号线不得穿越小信号区; *晶体和连接电容下方不得走其他信号线,以免振荡频率不稳; *除单列器件外只允许移动、旋转,不得翻转,否则器件只能焊于焊接面; *核对器件封装 同一型号的贴片器件有不同封装。例如SO14 塑料本体宽度有0.15英寸(3.8mm)和5.1mm的区别。 *核对器件安装位置 器件布局初步完成后,应打出1:1的器件图,核对边沿器件安装位置是否合适。 3. 布线

3.1 线宽 信号线:8~12mil; 电源线:30~100mil(A级电源线可用矩形焊盘加焊裸导线以增加通过电流量); 3.2 标准英制器件以25 mil间距走线。 3.3 公制管脚以5 mil间距走线,距离管脚不远处拐弯,尽量走到25 mil 网格上,便于以后导线调整。 3.4 8mil线宽到过孔中心间距为30mil。 3.5 大量走线方向交叉时可把贴片器件改到焊接面。 3.6 原理图连线不见得合理,可适当修改原理图,重作网络表,使走线尽量简洁、合理。 * 62256 RAM芯片的数据、地址线可不按元件图排列; * MCU 的外接IO管脚可适当调整; * 地址锁存芯片的引脚可适当变动,但要注意信号的对应关系; * CPLD和GAL的引脚可适当调整。 3.7在用贴片管脚较多的器件时,布线不一定坚持横竖各在一面的原则,应以走线简洁、合理为准。 3.8 预留电源和地线走线空间。 3.9 电源线换面时最好在器件管脚处,过孔的电阻较大。 3.10 不应连接的器件有飞线,可能是原理图网络标号相同所致,应修改原理图。 4. 线间距压缩 在引线密度较高,差几根线布放困难时可采取以下办法: * 8mil线宽线间距由25 mil改为20 mil; *过孔较多时可把经过孔的相反方向的走线调整到一排; *经过孔的走线弯曲,压缩线间距; * 5. DRC检查 DRC检查的间距一般为10 mil,如布线困难也可设为8 mil。 布地网前应作一次DRC检查,即除GND没布线外不得有其他问题。如发现问题也容易处理。 6. 佈地网(铺铜) 佈地网首先能减小地线电阻,即减小由地线电阻(电感)形成的电压降,使电路工作稳定。另外也可减少对外辐射,增强电磁兼容性。早期采用网格,近来很多采用连在一起的铜箔。 佈地网用DXP软件较好,即缺画导线较少。

高速PCB设计心得

一:前言 随着PCB系统的向着高密度和高速度的趋势不断的发展,电源的完整性问题,信号的完整性问题(SI),以及EMI,EMC的问题越来越突出,严重的影响了系统的性能甚至功能的实现。所谓高速并没有确切的定义,当然并不单单指时钟的速度,还包括数字系统上升沿及下降沿的跳变的速度,跳变的速度越快,上升和下降的时间越短,信号的高次谐波分量越丰富,当然就越容易引起SI,EMC,EMI的问题。本文根据以往的一些经验在以下几个方面对高速PCB的设计提出一些看法,希望对各位同事能有所帮助。 ●电源在系统设计中的重要性 ●不同传输线路的设计规则 ●电磁干扰的产生以及避免措施 二:电源的完整性 1.供电电压的压降问题。 随着芯片工艺的提高,芯片的内核电压及IO电压越来越小,但功耗还是很大,所以电流有上升的趋势。在内核及电压比较高,功耗不是很大的系统中,电压压降问题也许不是很突出,但如果内核电压比较小,功耗又比较大的情况下,电源路径上的哪怕是0.1V 的压降都是不允许的,比如说ADI公司的TS201内核电压只有 1.2V,内核供电电流要 2.68A,如果路径上有0.1欧姆的电阻,电 压将会有0.268V的压降,这么大的压降会使芯片工作不正常。如何尽量减小路径上的压降呢?主要通过以下几种方法。

a:尽量保证电源路径的畅通,减小路径上的阻抗,包括热焊盘的连接方式,应该尽量的保持电流的畅通,如下图1和图2的比较,很明显图2中选择的热焊盘要强于图1。 b:尽量增加大电流层的铜厚,最好能铺设两层同一网络的电源,以保证大电流能顺利的流过,避免产生过大的压降,关于电流大小和所流经铜厚的关系如表1所示。 (表1) 1 oz.铜即35微M厚, 2 oz.70微M, 类推 举例说,线宽0.025英寸,采用2 oz.盎斯的铜,而允许温升30度,

pcb设计指南

mp3的设计原理及制作 高速PCB设计指南之一 第一篇PCB布线 在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程 限定最高,技巧最细、工作量最大。PCB布线有单面布线、双面布线及多层布线。布线的方式也有两种:自动布线及交互式布 线,在自动布线之前,可以用交互式预先对要求比较严格的线进行布线,输入端与输出端的边线应避免相邻平行,以免产生 反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。 自动布线的布通率,依赖于良好的布局,布线规则可以预先设定,包括走线的弯曲次数、导通孔的数目、步进的数目等。一般 先进行探索式布经线,快速地把短线连通,然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要 断开已布的线。并试着重新再布线,以改进总体效果。 对目前高密度的PCB设计已感觉到贯通孔不太适应了,它浪费了许多宝贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技 术,它不仅完成了导通孔的作用,还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过 程是一个复杂而又简单的过程,要想很好地掌握它,还需广大电子工程设计人员去自已体会,才能得到其中的真谛。 1 电源、地线的处理 既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影 响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作以表述: (1)、众所周知的是在电源、地线之间加上去耦电容。 (2)、尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线,通常信号线宽为:0.2~ 0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm。对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个 地网来使用(模拟电路的地不能这样使用) (3)、用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用 一层。 2 数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合构成的。因此在布线时就需要考虑 它们之间互相干扰问题,特别是地线上的噪音干扰。 数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人 PCB对外界只有一个结点,所以必须在PCB内部进行处理数、模共地的问题,而在板内部数字地和模拟地实际上是分开的它们 之间互不相连,只是在PCB与外界连接的接口处(如插头等)。数字地与模拟地有一点短接,请注意,只有一个连接点。也有 在PCB上不共地的,这由系统设计来决定。 3 信号线布在电(地)层上 在多层印制板布线时,由于在信号线层没有布完的线剩下已经不多,再多加层数就会造成浪费也会给生产增加一定的工作量, 成本也相应增加了,为解决这个矛盾,可以考虑在电(地)层上进行布线。首先应考虑用电源层,其次才是地层。因为最好是 保留地层的完整性。 4 大面积导体中连接腿的处理 在大面积的接地(电)中,常用元器件的腿与其连接,对连接腿的处理需要进行综合的考虑,就电气性能而言,元件腿的焊盘 与铜面满接为好,但对元件的焊接装配就存在一些不良隐患如:①焊接需要大功率加热器。②容易造成虚焊点。所以兼顾电气 性能与工艺需要,做成十字花焊盘,称之为热隔离(heat shield)俗称热焊盘(Thermal),这样,可使在焊接时因截面过分散 热而产生虚焊点的可能性大大减少。多层板的接电(地)层腿的处理相同。 5 布线中网络系统的作用 在许多CAD系统中,布线是依据网络系统决定的。网格过密,通路虽然有所增加,但步进太小,图场的数据量过大,这必然对 设备的存贮空间有更高的要求,同时也对象计算机类电子产品的运算速度有极大的影响。而有些通路是无效的,如被元件腿的 焊盘占用的或被安装孔、定们孔所占用的等。网格过疏,通路太少对布通率的影响极大。所以要有一个疏密合理的网格系统来 支持布线的进行。 标准元器件两腿之间的距离为0.1英寸(2.54mm),所以网格系统的基础一般就定为0.1英寸(2.54 mm)或小于0.1英寸的整倍数, 如:0.05英寸、0.025英寸、0.02英寸等。 6 设计规则检查(DRC) 布线设计完成后,需认真检查布线设计是否符合设计者所制定的规则,同时也需确认所制定的规则是否符合印制板生产工艺的 需求,一般检查有如下几个方面: (1)、线与线,线与元件焊盘,线与贯通孔,元件焊盘与贯通孔,贯通孔与贯通孔之间的距离是否合理,是否满足生产要 求。 (2)、电源线和地线的宽度是否合适,电源与地线之间是否紧耦合(低的波阻抗)?在PCB中是否还有能让地线加宽的地 方。 (3)、对于关键的信号线是否采取了最佳措施,如长度最短,加保护线,输入线及输出线被明显地分开。 (4)、模拟电路和数字电路部分,是否有各自独立的地线。 (5)后加在PCB中的图形(如图标、注标)是否会造成信号短路。 (6)对一些不理想的线形进行修改。 (7)、在PCB上是否加有工艺线?阻焊是否符合生产工艺的要求,阻焊尺寸是否合适,字符标志是否压在器件焊盘上,以免影 响电装质量。 (8)、多层板中的电源地层的外框边缘是否缩小,如电源地层的铜箔露出板外容易造成短路。 Copyright by BroadTechs Electronics Co.,Ltd 2001-2002

ADI的高速PCB设计

The World Leader in High Performance Signal Processing Solutions A Practical Guide to High-Speed Printed Circuit Board Layout

Agenda Overview Schematic Location location location Location, location, location Power supply bypassing Parasitics Ground and power planes Packaging RF Signal routing and shielding Summary

Overview PCB layout is one of the last steps in the design process and often one of the most critical High-speed circuit performance is heavily dependant on High speed circuit performance is heavily dependant on layout A high-performance design can be rendered useless due to a poor or sloppy layout poor or sloppy layout Today’s presentation will help: p y p z Improve the layout process z Ensure expected circuit performance is achieved z Reduce design time L t z Lower cost z Lower stress for you and the PCB designer

PCB设计问题(个人总结)知识分享

1.工作空间是一个比较大的概念,(先创建一个工作空间,再在这个空间内创建一个工程)——创建一个工程,就自动进入了一个工件空间里,在一个空间里可以有多个工程。 2.原理图向PCB转化的过程中,会出现一些问题:1>某些元器件没有对应的封装(元件管理器,封装管理器)。要将元器件的封装添加到对应项目的库中来。 3.端口与网络标号的概念是不区别的,网络标号是引脚上的相连,而端口的概念就是指输入输出的端口,与外部的接口! 4.对于过孔的类型,应该对电源/接地线与信号线区别对待。一般将电源/接地线过孔的参数设置为:孔径20mil,宽度50mil。一般信号类型的过孔则为:孔径20mil,宽度40mil。 5.安全间距的设置:对同一个层面中的两个图元之间的元件之间的允许的最小的间距,默认情况下可设置为10mil. 6.对于双面板而言,可将顶层布线设置为沿垂直方向,将底层布线设置为沿水平方向。 7.对走线宽度的要求,根据电路抗干扰性和实际的电流的大小,将电源和接地线宽确定为20mil, 其它走线宽度10mil. 8.层的管理: 在Atilum中共可进行74个板层的设计,从物理上可将板层分为6类,即信号层、内部电源层、丝印层、保护层、机械层和其他层。另外还有一个系统的颜色层,但它在物理上并不存在。 ①信号层:在信号层中,有一个Top Layer层,一个Bottom Layer层和30个Mid-Layer,其中各层的作用如下所述: Top Layer:元器件面的信号层,可用来放置元器件和布线。(红色线) Bottom Layer:焊接面信号层,可用来放置元器件和布线。(绿色线) Mid-Layer:中间信号层,共30层,(Mid-Layer1--Mid-Layer30),主要用于布置信号线。 内部电源线:系统共提供了16个内部电源层,(Internal Plane 1--Internal Plane 16).内部电源层又称为电气层,主要用于布置电源线和地线。 ②机械层:系统共提供16个机械层(Mechanical 1--Mechanical 16),主要用于放置电路板的边框和标注尺寸,一般情况下只需要一个机械层。(紫色线) ③掩膜层:掩膜层也叫保护层,共提供4个,分别为2个Paste Layer(锡膏防护层)和2个Solder Layer(阻焊层)。其中锡膏防护层用于在焊盘和过孔的周围设置保护区;而阻焊层则用于为光绘和丝印层屏蔽工艺提供与表面有贴装器件的印制电路板之间的焊接粘贴。当表面无粘贴器件时不需要使用该层。 ④丝印层:丝印层(Overlay Layer)共有两层,分别为TOP Overlay和Bottom Overlay。主要用于绘制元器件的外形轮廓、字符串标注等文字和图形说明。(黄色线) ⑤其他层:Drill Guide 用于绘制钻孔导引层。Keep-out Layer 用于定义能有效放置元件和布线的区域。Drill Drawing 用于选择绘制钻孔图层。Multi-Layer 设置是否显示复合层。 尽管在Altium中提供了多达74层的工作层面,但在设计过程中经常用到的只有顶层、底层、丝印层和禁止布线层等少数几个。 9.一般板子的层数指的是板子所含的信号层和电源层的总个数。 10.规划PCB板(三条框):定义板子的外形尺寸(design-Board shape),定义在机械层;定义板子的物理边界(用画线工具)也是定义在机械层;设定电气边界,用画线工具(Keep-out 层中完成的)。 11.敷铜,喷漆,阻焊层,锡膏防护层。Paste Layer到底是什么意思,焊接层?锡膏防护层?(作用在焊盘和过孔周围设置保护区) Paste层:表面意思是指焊膏层,就是说可以用它来制作印刷锡膏的钢网,这一层只需露出所有需要贴片焊接的焊盘,并且开孔可能会比实际焊盘小。这一层资料不需要提供给

高速pcb设计指南之五

高速PCB设计指南之五 第一篇DSP系统的降噪技术 随着高速DSP(数字信号处理器)和外设的出现,新产品设计人员面临着电磁干扰(EMI)日益严重的威胁。早期,把发射和干扰问题称之为EMI或RFI(射频干扰)。现在用更确定的词“干扰兼容性”替代。电磁兼容性(EMC)包含系统的发射和敏感度两方面的问题。假若干扰不能完全消除,但也要使干扰减少到最小。如果一个DSP系统符合下面三个条件,则该系统是电磁兼容的。 1.对其它系统不产生干扰。 2.对其它系统的发射不敏感。 3.对系统本身不产生干扰。 干扰定义 当干扰的能量使接收器处在不希望的状态时引起干扰。干扰的产生不是直接的(通过导体、公共阻抗耦合等)就是间接的(通过串扰或辐射耦合)。电磁干扰的产生是通过导体和通过辐射。很多电磁发射源,如光照、继电器、DC电机和日光灯都可引起干扰。AC电源线、互连电缆、金属电缆和子系统的内部电路也都可能产生辐射或接收到不希望的信号。在高速数字电路中,时钟电路通常是宽带噪声的最大产生源。在快速DSP中,这些电路可产生高达300MHz的谐波失真,在系统中应该把它们去掉。在数字电路中,最容易受影响的是复位线、中断线和控制线。 传导性EMI 一种最明显而往往被忽略的能引起电路中噪声的路径是经过导体。一条穿过噪声环境的导线可检拾噪声并把噪声送到另外电路引起干扰。设计人员必须避免导线捡拾噪声和在噪声产生引起干扰前,用去耦办法除去噪声。最普通的例子是噪声通过电源线进入电路。若电源本身或连接到电源的其它电路是干扰源,则在电源线进入电路之前必须对其去耦。 共阻抗耦合 当来自两个不同电路的电流流经一个公共阻抗时就会产生共阻抗耦合。阻抗上的压降由两个电路决定。来自两个电路的地电流流经共地阻抗。电路1的地电位被地电流2调制。噪声信号或DC补偿经共地阻抗从电路2耦合到电路1。 辐射耦合 经辐射的耦合通称串扰,串扰发生在电流流经导体时产生电磁场,而电磁场在邻近的导体中感应瞬态电流。 辐射发射 辐射发射有两种基本类型:差分模式(DM)和共模(CM)。共模辐射或单极天线辐射

高速PCB设计的基本知识及概念

高速PCB设计的基本知识及概念 1、“层(Layer)”的概念 与字处理或其它许多软件中为实现图、文、色彩等的嵌套与合成而引入的“层”的概念有所同,Protel的“层”不是虚拟的,而是印刷板材料本身实实在在的各铜箔层。现今,由于电子线路的元件密集安装。防干扰和布线等特殊要求,一些较新的电子产品中所用的印刷板不仅有上下两面供走线,在板的中间还设有能被特殊加工的夹层铜箔,例如,现在的计算机主板所用的印板材料多在4层以上。这些层因加工相对较难而大多用于设置走线较为简单的电源布线层(如软件中的Ground Dever和Power Dever),并常用大面积填充的办法来布线(如软件中的ExternaI P1a11e和Fill)。上下位置的表面层与中间各层需要连通的地方用软件中提到的所谓“过孔(Via)”来沟通。有了以上解释,就不难理解“多层焊盘”和“布线层设置”的有关概念了。举个简单的例子,不少人布线完成,到打印出来时方才发现很多连线的终端都没有焊盘,其实这是自己添加器件库时忽略了“层”的概念,没把自己绘制封装的焊盘特性定义为”多层(Mulii一Layer)的缘故。要提醒的是,一旦选定了所用印板的层数,务必关闭那些未被使用的层,免得惹事生非走弯路。 2、过孔(Via) 为连通各层之间的线路,在各层需要连通的导线的文汇处钻上一个公共孔,这就是过孔。工艺上在过孔的孔壁圆柱面上用化学沉积的方法镀上一层金属,用以连通中间各层需要连通的铜箔,而过孔的上下两面做成普通的焊盘形状,可直接与上下两面的线路相通,也可不连。一般而言,设计线路时对过孔的处理有以下原则: (1)尽量少用过孔,一旦选用了过孔,务必处理好它与周边各实体的间隙,特别是容易被忽视的中间各层与过孔不相连的线与过孔的间隙,如果是自动布线,可在“过孔数量最小化”(Via Minimiz8tion)子菜单里选择“on”项来自动解决。 (2)需要的载流量越大,所需的过孔尺寸越大,如电源层和地层与其它层联接所用的过孔就要大一些。 3、焊盘(Pad)

PCB设计总结讲解

PCB设计总结 一. PCB板框设计 1. 物理板框的设计一定要注意尺寸精确,避免安装出现麻烦,确保能够将电路板顺利安装进机箱,外壳,插槽等。 2. 拐角的地方(例如矩形板的四个角)最好使用圆角。一方面避免直角,尖角刮伤人,另一方面圆角可以减轻应力作用,减少PCB 板因各种原因出现断裂的情况。 3. 在布局前应确定好各种安装孔(例如螺丝孔)及各种开口,开槽。一般来说,孔与PCB板边缘的距离至少大于孔的直径。 4. 当电路板的面积大于200 x 150 mm时,应重视该板所受的机械强度。从美学角度来看,电路板的最佳形状为矩形。宽和长之比最好是黄金比值0.618(黄金比值的应用也是很广的)。实际应用时可取宽和长为2:3或3:4等。 5. 结合产品设计要求(尤其是批量生产),综合考虑PCB板的尺寸大小。尺寸过大,印刷铜线过长,阻抗增加,抗噪声能力下降;尺寸过小,散热不好,线距不好控制,相邻导线容易干扰。 6. 一般来说,板框的规划是在KeepOutLayer层进行。 二.PCB板布局设计 元件布置是否合理对整板的寿命,稳定性,易用性及布线都有很大的影响,是设计出优秀PCB板的前提。不同的板的布局各有其要求和特点,但当中不乏一些通用的规则,技巧。。

1. 元件的放置顺序 ①一般来说,首先放置与整板的结构紧密相关的且固定位置的元件。比如常见的电源插座,开关,指示灯,各种有特殊位置要求的接口(连接件之类),继电器等,并且不要与PCB板中的开孔,开槽相冲突,位置要正确。放置好后,最好用软件的锁定功能将其固定。 ②接着放置体积大的元件和核心元件以及一些特殊的元件。例如变压器等大元件,集成电路,处理器等核心IC元件,发热元件等。这些元件会随着布线的考虑有所移动,因此是大致的放置,更不用锁定。 ③最后放置小元件。例如阻容元件,辅助小IC等。 2. 注意点 ①原则上所有元件都应该放置在距离板边缘3mm以上的地方。尤其在大批量生产时的流水线插件和波峰焊,此举是要提供给导轨槽使用的,同时可以防止外形切割加工时引起边缘部分缺损。 ②要重视散热问题。 对于一些大功率的电路,应该将其发热严重的元件(如功率管,高功率变压器等)尽量分布在板的边缘,便于热量散发,不要过于集中在一个地方。总之要适当,尤其在一些精密的模拟系统中,发热器件产生的温度场对一些放大电路的影响是严重的。除了保证有足够的散热措施外,一些功率超大的部分建议做成一个单独的模块,并作好

相关主题