搜档网
当前位置:搜档网 › Proteus程序仿真设计实验报告

Proteus程序仿真设计实验报告

Proteus程序仿真实验报告

学院:电子信息学院

班级:生物医学工程101

姓名:孙巍

学号:1011082023

一、实验目的

掌握ptoteus ISIS 基本功能

掌握proteus 基本操作和管理方法

掌握电子电路的设计和仿真调试方法

二、实验设备

发光二级管LED-RED、按钮BUTTON、单片机AT89C51、瓷片电容CAP22Pf、晶振CRYSTAL 11.0592MHz、电解电容CAP-ELEC、电阻RES、发光二级管LED-GREEN、拨码开关DIPSW-8、开关SWITCH。

Proteus软件

三、实验内容

原理图设计如下:

语言源程序:

U1:

#include "reg51.h"

#define uchar unsigned char

#define uint unsigned int

void send(uchar state)

{

SBUF=state;

while(TI=0);

TI=0;

}

void SCON_init(void)

{

SCON=0x50;

TMOD=0x20;

PCON=0x00;

TH1=0xFD;

TL1=0xFD;

TI=0;

TR1=1;

ES=1;

}

void main(void)

{

P1=0xFF;

SCON_init();

while(1)

{

send(P1);

}

}

U2:

#include "reg51.h"

#define uchar unsigned char

#define uint unsigned int

uchar state;

void receive()

{

while(RI=0);

state=SBUF;

RI=0;

}

Void SCON_init(void)

{

SCON=0x50;

TMOD=0x20;

PCON=0x00;

TH1=0xFD;

TL1=0xFD;

RI=0;

TR1=1;

}

void main(void)

{

SCON_init();

while(1)

{

receive();

P1=state;

}

}

实验结果图:

六、心得体会

经过这次系统的学习,我又学会了许多新的知识,并与实际的操作相结合。进一部巩固了之前所学的内容。学会了将知识应用到实际的方法。在老师的指导中及同学们相互合作下,让我又快又好的完成了实验的内容。丰富了我的知识面。同时加强了我的动手能力。实验也让我意识到了许多不足的地方,在今后的学习里。我还会不断学习创新,进一步的改进完善。

单片机定时器的应用proteus仿真实验报告总结

单片机定时器的应用Proteus仿真实验报告总结 1. 背景 单片机定时器是嵌入式系统中常用的功能模块之一,它可以精确地控制时间和频率,广泛应用于各种计时、测量、通信等领域。本次实验使用Proteus软件进行仿真,通过编程控制单片机定时器的工作模式和参数,验证其在不同场景下的应用效果。 2. 分析 2.1 实验目标 本次实验主要目标是熟悉单片机定时器的工作原理和编程方法,并通过Proteus仿真验证程序的正确性和性能。 2.2 实验内容 本次实验分为以下几个部分: 1.简单定时器:设置一个固定时间间隔,在每个时间间隔结束时触发一个中断。 2.定时测量:使用计数器模式测量一个外部事件的时间间隔。 3.PWM输出:使用PWM模式生成一个可调节占空比的脉冲信号。 4.输入捕获:通过输入捕获模式获取外部事件的时间戳。 2.3 设备与材料 •Proteus软件 •单片机开发板 •连接线等辅助材料 2.4 实验步骤 1.搭建仿真环境:在Proteus中选择合适的单片机模型,并与其他外部模块连 接,如LED、按键等。 2.编写程序:根据实验要求,使用C语言编写相应的程序,包括定时器配置、 中断处理等。

3.仿真验证:将程序烧录到单片机中,并在Proteus中运行仿真,观察定时器 的工作情况和输出结果。 4.结果分析:根据实验结果进行分析和总结,评估定时器的性能和可靠性。 3. 结果 3.1 简单定时器 在简单定时器实验中,我们设置了一个固定的时间间隔为1秒,在每个时间间隔结束时触发一个中断。通过LED灯闪烁来表示定时器的工作状态。经过仿真验证,LED灯每隔1秒闪烁一次,符合预期效果。 3.2 定时测量 在定时测量实验中,我们使用计数器模式测量了一个外部事件(按下按键)的时间间隔。通过读取计数器的值,并转换为时间单位,可以得到精确的测量结果。经过仿真验证,在按下按键后,计数器开始计时,松开按键后计数器停止,并输出测量结果。实验结果表明,测量结果与预期值非常接近,说明定时器具有较高的精度和稳定性。 3.3 PWM输出 在PWM输出实验中,我们使用PWM模式生成了一个可调节占空比的脉冲信号。通过改变占空比的值,可以控制脉冲信号的高电平时间和低电平时间。经过仿真验证,在不同的占空比下,脉冲信号的波形变化符合预期,并且占空比与设置值之间存在线性关系。这说明定时器能够准确地控制脉冲信号的频率和占空比。 3.4 输入捕获 在输入捕获实验中,我们通过输入捕获模式获取了一个外部事件(按下按键)的时间戳。通过读取捕获寄存器中的值,并转换为时间单位,可以得到事件发生的精确时间。经过仿真验证,在按下按键后,定时器会立即记录下当前时间,并输出时间戳。实验结果表明,时间戳与预期值非常接近,并且满足要求的精度和准确性。 4. 建议 根据本次实验结果和分析,我们对单片机定时器的应用提出以下建议:

Proteus电子钟仿真实验高清版

Proteus 仿真大赛 电 子 时 钟 仿 真

第一章电子时钟总体设计 电子时钟简介 电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。随着人们生活环境的不断改善和美化,在许多场合都用到电子时钟。 很多单片机产品具有实时时钟的功能,例如智能化仪器仪表、工业过程系统及家用电器等。这里要求实现一个具有实时时钟显示和闹钟控制功能的数字钟。通过数字钟的设计与制作,将前面所学的单片机内部定时资源、I/O端口、键盘和显示接口等知识融会贯通,锻炼独立设计、制作和调试应用系统的能力,深入领会单片机应用系统的硬件设计、模块化程序设计及软硬件调试方法等,并掌握单片机应用系统的开发过程。 电子钟设计要求 设计并制作具有如下功能的数字钟: (1)自动计时,由6位LED先四起显示时、分、秒。 (2)具备校准功能,可以设置当前时间。 (3)具备定时启动功能,可以设置闹钟时间,启闹10s后自动关闭闹铃。 电子钟计时方案 (1)采用实时时钟芯片。针对应用系统对实时功能的普遍需求,各大芯片生产厂家陆续推出了一系列实时时钟集成电路,如DS1287、DS12887、DS1302、PCF8563、S35190等。这些实时时钟芯片具备年、月、日、时、分、秒、计时功能和多点定时功能,计时数据每秒自动更新一次,不需程序干预。单片机可通过中断或查询方式读取计时数据。实时时钟芯片的计时功能无须占用CPU时间,功能完善,精度高,软件程序设计相对简单,在实时工业测控系统中多采用这一类专用芯片来实现。 (2)软件控制。利用AT89S51内部定时/计数器进行中断定时,配合软件延时、分、秒的计时。该方案节省硬件成本,且能够使读者对前面所学知识进行综合运用,因此,本系统设计采用这一方案。 电子钟显示方案 (1)利用串行口扩展LED,实现LED静态显示。 该方案占用单片机资源少,且静态显示亮度高,但硬件开销大,电路复杂,信息刷新速度慢,比适用于单片机并行口资源较少的场合。 (2)利用单片机并行I/O端口,实现LED动态显示。

proteus实验报告

皖西学院 专业软件实验报告 实验课程 : PROTUES专业软件 学院 : 机械与电子工程 专业 : 电子信息科学与技术 班级 : 电信1002 姓名 : 孙慧慧 学号 : 2010010780 指导老师 : 翁志刚 二O一二年十一月

目录 ?实验一:PROTUES软件的安装及其运行的环境?实验二:利用PROTUES绘制简单的电路原理图?实验三:基于PROTUES的仿真实验 ?实验四:利用PROTUES做PCB ?实验小结及心得体会

实验一:PROTUES软件的安装及其运行的环境一﹑实验目的 1﹑熟悉PROTUES集成环境软件 2﹑掌握PROTUES专业软件的安装方法 3﹑熟悉PROTUES运行的环境 二﹑实验设备 pc机,protues集成软件安装包 三﹑实验步骤 >>软件的安装 1. 解压安装文件,找到protues7.5SP3.exe并打开,如图所示: 2.点击“YES”,进入下一步: 3.点击“Next”,下一步:

4.点击“Next”,下一步: 5.点击“Browse For Key File”,装入刚刚解压的“完美破解”的路径,确 定,将出现: 6.点击“install”; 7.点击“是”,然后点击“CLOSE”

8.点击“next”, 9.需要改安装路径就改改,然后点击“next”,不用PCB,则将第二个图标不选,然后一直点“next”到完成。 10.安装完成后需要导入钥匙,点击解压的文件如下图 11.点击“browser”,将刚才的安装路径导进去

12.安装路径 13.导进去后,点击“update”,若失败就是路径不正确,重新导入路径,完成后点击“CLOES”,安装完成。 >>运行环境 1.ISIS 7 Professional 运行的界面 2.元件的拾取 (1)按类别查找和拾取

Proteus仿真单片机实验

目录 引言 (2) 实验1 PROTUES环境及LED闪烁综合实验 (7) 实验2 多路开关状态指示 (10) 实验3 报警产生器 (13) 实验4 I/O并行口直接驱动LED显示 (16) 实验5 按键识别方法之一 (19) 实验6 一键多功能按键识别技术 (22) 实验7 定时计数器T0作定时应用技术 (25) 实验8定时计数器T0作定时应用技术 (28) 实验9 “嘀、嘀、......”报警声 (32) 实验10 8X8 LED点阵显示技术 (36) 实验11电子琴 (40)

引言 单片机体积小,重量轻,具有很强的灵活性而且价格便宜,具有逻辑判断,定时计数等多种功能,广泛应用于仪器仪表,家用电器,医用设备的智能化管理和过程控制等领域。以单片机为核心的嵌入式系统已经成为目前电子设计最活跃的领域之一。在嵌入式系统的中,开发板成本高,特别是对于大量的初学者而言,还可能由于设计的错误导致开发板损坏。利用Proteus我们可以很好地解决这个问题,由此我们可以快速地建立一个单片机仿真系统。 1. Proteus介绍 Proteus是英国Labcenter Electronics公司开发的一款电路仿真软件,软件由两部分组成:一部分是智能原理图输入系统ISIS(Intelligent Schematic Input System)和虚拟系统模型VSM(Virtual Model System);另一部分是高级布线及编辑软件ARES (Advanced Routing and Editing Software)也就是PCB。 1.1 Proteus VSM的仿真 Proteus可以仿真模拟电路及数字电路,也可以仿真模拟数字混合电路。 Proteus可提供30多种元件库,超过8000种模拟、数字元器件。可以按照设计的要求选择不同生产厂家的元器件。此外,对于元器件库中没有的元件,设计者也可以通过软件自己创建。 除拥有丰富的元器件外,Proteus还提供了各种虚拟仪器,如常用的电流表,电压表,示波器,计数/定时/频率计,SPI调试器等虚拟终端。支持图形化的分析功能等。 Proteus特别适合对嵌入式系统进行软硬件协同设计与仿真,其最大的特点是可以仿真8051,PIA,A VR,ARM等多种系列的处理器。Protues包含强大的调试工具,具有对寄存器和存储器、断点和单步模式IAR C-SPY, Keil, MPLAB等开发工具的源程序进行调试的功能;能够观察代码在仿真硬件上的实时运行效果;对显示,按钮,键盘等外设的交互可视化进行仿真。 1.2 Proteus PCB Proteus 的PCB设计除了有自动布线仿真功能外,还集成了PCB设计,支持多达16个布线层,可以任意角度放置元件和焊接连线;集成了高智能的布线算法,可以方便地进行PCB设计。 2. 一个基于Protesus的单片机实例 2.1 软件的编写 本例题采用8个LED,编写程序使之闪烁起来。

proteus和keil的仿真实验报告

北京联合大学 《基于proteus和keil仿真》实验报 告 实验题目:基于ADC0808模数转换器的数字电压表 学院:信息学院专业:电子信息工程 班级:0808030301 组员:华永奇学号:2008080303107 组员:朱圣峰学号:2008080303137 组员:陈柏宇学号:2008080303113 组员:李冉学号:2008080303119 组员:张茜学号:2008080303104

一、实验任务: 利用单片机AT89C51与A/D 转换器件ADC0808设计一个数字电压表,能够 测量0~5V 之间的直流电压值,并用4位数码管显示该电压值。 设计任务要求: 硬件设计:利用Proteus 软件绘制原理图; 软件设计:利用Keil 软件进行程序设计; 完成软硬件调试:Proteus 和Keil 联调,实现选题要求的功能; 二、实验设计: 1、 硬件设计: 1.11.2 proteus

2、软件设计: 2.1 程序源代码: #include #define uchar unsigned char //宏定义 #define uint unsigned int //定义管脚 sbit START=P3^2; sbit OE=P3^0; sbit EOC=P3^1; sbit P07=P0^7; sbit CLK=P3^4; uchar data led[4]; uint data tvdata; //定义输出电压值变量 uchar code tv[]={0xf7,0xfb,0xfd,0xfe}; //led动态显示控制位选数组 uchar code a[]={0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07,0x7f,0x6f}; //led上显示段选数组,0~9,阴极管void delay(void) //延时程序 { uint i; for(i=0;i<100;i++) for(i=0;i<100;i++); //对于12mhz单片机,10ms延时 } void led_display(void) //数码管显示模块 { uchar k,temp; led[0]=tvdata%10; //个位 led[1]=tvdata/10%10; //十位 led[2]=tvdata/100%10; //百位 led[3]=tvdata/1000; //千位 for(k=0;k<4;k++) //利用for循环进行动态显示 { P2=tv[k]; //位选信号赋值给P2口 temp=led[k]; // 段选信号赋值给临时变量temp P0=a[temp]; // temp赋值给P0口 if(k==3) //点亮第四个数码管的小数点 { P07 =1; //高位点亮 } delay(); //延时 } }

proteus实验报告

proteus实验报告 Proteus实验报告 引言: Proteus是一款功能强大的虚拟电子电路设计软件,被广泛应用于电子工程领域。通过Proteus,我们可以在计算机上模拟和验证各种电路设计,从而提高电路设计的效率和准确性。本篇实验报告将介绍我在使用Proteus进行实验时的经验 和收获。 实验一:基本电路设计与模拟 在Proteus中,我们可以通过拖拽电子元件和连接它们的引脚来设计电路。首先,我选择了一个简单的LED电路作为实验对象。通过在Proteus中选择LED 和电阻元件,并将它们连接在一起,我成功地设计出了一个基本的LED电路。 接下来,我设置了电源电压和电阻值,然后点击仿真按钮进行模拟。通过观察 仿真结果,我可以清晰地看到LED是否正常工作、电流大小等信息,这对于验 证电路设计的正确性非常有帮助。 实验二:模块化设计与调试 在电子工程中,模块化设计是一种常用的设计方法。通过将电路划分为多个模块,我们可以分别设计和测试每个模块,最后将它们组合在一起形成完整的电路。在Proteus中,我可以使用子电路功能来实现模块化设计。我选择了一个 简单的四位二进制加法器作为实验对象。首先,我设计了一个单独的半加器模块,并对其进行仿真和调试。然后,我将四个半加器模块组合在一起形成完整 的加法器电路,并进行整体仿真。通过这种模块化设计的方法,我可以更加方 便地调试和验证电路的正确性。

实验三:PCB设计与布局 在电子产品的制造中,PCB(Printed Circuit Board)的设计和布局是一个非常 重要的环节。Proteus提供了PCB设计的功能,可以帮助我们将电路设计转化 为实际的PCB板。在Proteus中,我可以选择合适的尺寸和层数,并将电子元 件放置在PCB板上,然后进行布线。通过Proteus提供的自动布线功能,我可 以自动完成电路的布线,节省了大量的时间和精力。在完成布线后,我可以生 成PCB板的制造文件,然后将其发送给PCB制造厂家进行生产。通过这一实验,我对PCB设计和布局有了更深入的了解,并提高了我的设计能力。 结论: 通过使用Proteus进行实验,我深刻体会到了它在电子电路设计中的重要性和 价值。Proteus不仅提供了丰富的电子元件库和仿真功能,还支持模块化设计和PCB布局。通过Proteus,我能够更加高效地进行电路设计和验证,并且能够更好地理解电子电路的工作原理。在今后的学习和工作中,我将继续深入学习和 应用Proteus,不断提升自己的电子工程能力。

protues仿真与演示实验报告

protues仿真与演示实验报告 II 实验课程名字 ,Protues仿真与演示 时间:大三秋学期6——10周 姓名:杨祥 班级: 电信1001 学号:2010010 指导老师:翁志刚 一.实验目的 1、掌握Proteus ISIS基本功能。 2、掌握Proteus ISIS文件的基本操作方法和管理方法。 3、掌握Proteus ISIS仿真控制器件和虚拟仪器的使用方法。 4、掌握电子电路的设计与仿真调试的方法。 二.实验设备 计算机,Proteus软件,Word软件。 三.实验内容

I. Proteus软件的安装与运行基本电路图的连接运行 1.软件的安装 下载完后,解压压缩包里的文件 第1步、双击:Proteus 7.5 sp3 Setup目录下面的Proteus 75SP3 Setup.exe 开始安装 在安装过程中会提示下图找到破解目录下的补丁打开。 第2步.打开完成后,点击install将这些key文件安装过来。如图

第3步、安装后点完成,就跳回到初始安装界面了,这个时候你就可以点next 开始正 式安装文件了。后面都点下一步即可。

第四步、安装完成后还需要运行破解补丁:执行LXK Proteus 7.5 SP3 v2.1.,将目 录指定到X:\Program Files\Labcenter Electronics\Proteus 7 Professional (X是你安装的盘符),然后执行update; 安装完成就可以打开软件了。如下面。 不过这个时候还是英文版。

第五步、转换中文版。将汉化文件解压覆盖到X:\Program Files\Labcenter Electronics\Proteus 7 Professional \BIN(不会找安装目录的,在运行文件上点右键属性) 如图

24进制计数器proteus实验报告

24进制计数器proteus实验报告24进制计数器是在电子系统的设计中经常使用的计数器,具有高速、稳定、精度高等特点,因此在各种计数相关的操作和应用中被广泛使用。本次实验的目的是在Proteus软件中实现24进制计数器,并验证其计数功能和参数的准确性。 实验器材: - Proteus软件 -一块PIC16F877A单片机 -一个24进制数码管 实验原理: 24进制计数器是指计数器的基数为24,即每一次计数器加1所表示的是24进制数中的1。在本次实验中,我们将采用PIC16F877A单片机来实现24进制计数器,通过单片机来对计数器的计数值进行控制和显示。具体实现需要根据PIC16F877A的编程和控制的特点,针对24进制计数器设计适当的算法与操作。

实验过程: 1.根据24进制计数器的原理,确定计数器所采用的基数为24,编写程序,对PIC16F877A进行初始化和IO口配置。 2.在Proteus软件中,添加PIC16F877A单片机和24进制数码管,并连接需要的电路。 3.编写程序,设置计数范围,并实现对计数值的加1和显示。 4.进行仿真测试,查看计数器的正确性和稳定性。 5.通过调整程序和电路参数,优化计数器的性能和准确性。 实验结果: 经过一系列的设计和测试,实验结果表明,本次24进制计数器的 实验操作成功,可以实现稳定的计数功能。在计数器运行的过程中, 可以正确显示当前的计数值,并能够正常进行加1操作。同时,在根 据实际需要调整计数范围和显示参数的过程中,可以使用该计数器进 行更加精确和高效率的计数操作。 实验结论:

通过本次实验的操作和测试,可以有效地理解和应用24进制计数 器的原理和实现方法,掌握PIC16F877A单片机作为控制器的实现技术。该计数器具有高速、稳定和精度高等特点,在各种计数相关的操作和 应用中具有广泛的实用价值。

proteus的的实验报告

proteus的的实验报告 Proteus的实验报告 引言: Proteus是一种功能强大的虚拟电路设计软件,广泛应用于电子工程师和学生的实验和学习中。本文将通过实验报告的形式,介绍Proteus的基本特点、使用 方法以及实验过程和结果,以期帮助读者更好地了解和掌握这一工具。 一、Proteus的基本特点 Proteus是一款集仿真、绘图和PCB设计于一体的软件,它的主要特点包括以 下几个方面: 1. 仿真功能:Proteus可以对电路进行仿真,模拟电路的工作原理和性能。通过仿真功能,用户可以在软件中快速验证设计的正确性,避免在实际制作电路前 出现问题。 2. 绘图功能:Proteus提供了丰富的元器件库和绘图工具,用户可以根据需要自由选择和布局元器件,绘制出符合要求的电路图。这对于学习电路原理和进行 电路设计非常有帮助。 3. PCB设计功能:Proteus还具备PCB设计的能力,用户可以通过软件将电路 图转化为实际的PCB布局,并进行相关的布线和优化。这对于电子工程师来说,是一个非常方便和高效的工具。 二、Proteus的使用方法 在使用Proteus之前,我们首先需要了解一些基本操作和流程,以便更好地使 用这个软件。以下是一般的使用方法: 1. 创建电路图:打开Proteus软件后,我们可以选择创建一个新的项目,并在

项目中创建电路图。在电路图中,我们可以选择所需的元器件,并进行布局和 连线。 2. 添加仿真器件:在电路图中,我们可以添加各种仿真器件,如电源、信号发 生器、示波器等。这些器件可以模拟实际电路中的各种信号和元件。 3. 进行仿真:在电路图中添加完所需的元器件后,我们可以进行仿真。通过设 置仿真参数和激励信号,可以模拟电路的工作情况,并观察各个元件之间的相 互作用。 4. 分析仿真结果:仿真完成后,我们可以分析仿真结果,观察电路的工作情况、电压和电流的波形等。通过对仿真结果的分析,我们可以判断电路的性能和问 题所在。 5. PCB设计:如果需要将电路图转化为实际的PCB布局,我们可以在Proteus 中进行相关的设计和布线。通过软件提供的工具,我们可以对PCB进行优化和 修改,以满足实际需求。 三、实验过程和结果 为了验证Proteus的功能和效果,我们进行了一个简单的实验:设计一个LED 闪烁电路。具体步骤如下: 1. 创建电路图:在Proteus中创建一个新的项目,并在项目中创建电路图。选 择LED和电阻等元器件,并进行布局和连线。 2. 添加仿真器件:为了模拟实际电路中的信号和电源,我们在电路图中添加了 电源和信号发生器等仿真器件。 3. 进行仿真:设置仿真参数和激励信号,运行仿真。观察LED是否能够正常闪烁。

实验二 Proteus仿真软件的使用,流水灯程序,数码管操作

实验二 Proteus仿真软件的使用,流水灯程序,数码管操作实验二proteus仿真软件的使用,流水灯程序,数码管操作 实验二proteus仿真软件的使用 一、实验目的 掌握proteus仿真软件的使用方法和技巧。 二、实验仪器与设备 1.微机一台 2.proteus仿真软件 三、实验内容 1.用数码管设计一数码管表明电路。利用p1和p2口分别掌控两个共阳极数码管,可以循环表明0~99,每个数字表明时间自行设计。 2编写程序在其中一个数码管上画“8”字形,即按照a-f-g-c-d-e-g-b依次点亮各线段然后全部熄灭,重复以上操作。另外一个数码管做单管流水,即按a-f-g-c-d-e-g-b-a 依次单管循环点亮。 四、实验原理 led数码显示器内部有7个条形放光二极管和一个小圆点发光二极管组成,每个发光二极管称为一个字段,其控制原理和发光二极管的控制原理相同。 五、实验步骤 1.参考教材设计一双数码管控制显示电路,熟悉proteus的使用方法。 2.编程循环显示0~99. 3.编程在数码管画“8”。 六、实验报告 见附表 1、实验电路图 2、附:实验程序/***********************************实验二程序1编写:日期:功能:用12mhz晶振,p1口p2口分别显示数字的个位十位,数字每隔大约500ms加1一次,从0~99循环显示 ***********************************/#includecharcodetab[11]={0xc0,0xf9,0xa4,0x b0,0x99,0x92,0x82,0xf8,0x80,0x90,0xff};voiddelay_ms(int);voidmain(){intge=0,sh

proteus实验报告

Proteus 专业应用软件训练总结报告实验项目 项目一: Proteus 的基本操作与原理图绘制 一任务说明: 1.掌握Proteus仿真软件的的安装与破解 2.初步认识Proteus的运行环境及操作界面 3.画出所给电路图并进行仿真演示 二 Proteus 软件的安装及原理图绘制: 1.Proteus 软件的安装方法 1)首先解压安装文件,找到; (2)点击“YES,进入下一步,然后一直点击“ Next”,下一步,直到出现如图所示的添加License 界面; (3)点击“ Browse For Key File”,装入刚刚解压的“完美破解”的路径; 4)点击“ install ”,点击“是”,然后点击“ CLOS”E; (5)点击“next ”,需要改安装路径就改改,然后点击“ next ”,若不用PCB贝U将第二个图标不选,然后一直点“ next ”到完成。 (6)安装完成后需要导入钥匙,点击解压的文件如下图 (7)、点击“ browser”,将刚才的安装路径导进去 (8)、导进去后,点击“ up date ”,若失败就是路径不正确,重新导入路径,完成后点击“ CLOE”S ,安装完成。 2.Proteus 软件的原理图绘制 Proteus 软件成功安装之后,打开Proteus 下的ISIS 功能模块,则进入了原理图的绘制和 仿真界面。首先要了解各个功能区域的操作和功能: 1)编辑区域的缩放 P roteus编辑区域是放置电器元件和绘制原理图的区域,它的缩放操作多种多样, 极大地方便了工程项目的设计。常见的几种方式有:完全显示、放大按钮和缩小按钮,拖放、取景、找中心。

proteus仿真大作业-数字时钟实验报告

实验报告 Proteus 仿 真 大 作 业 课题:数字时钟实验报告 系部:电子工程系 班级:应用电子090132 姓名: 指导老师:

前言 数字电子钟具有走时准确,一钟多用等特点,在生活中已经得到广泛的应用。另外数字钟还具备秒表和闹钟的功能,且闹钟铃声可自选,使一款电子钟具备了多媒体的色彩。单片机AT89C51在Proteus软件中实现数字时钟的定时、时间调整、闹正设置等功能。具有体积小、功能强可靠性高、价格低廉等一系列优点,不仅已成为工业测控领域普遍采用的智能化控制工具,而且已渗入到人们工作和和生活的各个角落,有力地推动了各行业的技术改造和产品的更新换代,应用前景广阔。时钟电路在计算机系统中起着非常重要的作用,是保证系统正常工作的基础。在一个单片机应用系统中,时钟有两方面的含义:一是指为保障系统正常工作的基准振荡定时信号,主要由晶振和外围电路组成,晶振频率的大小决定了单片机系统工作的快慢;二是指系统的标准定时时钟,即定时时间。 Protues软件不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus 是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,它也支持IAR、Keil和MPLAB等多种编译器。 本文主要介绍用单片机内部的定时/计数器来实现电子时钟的方法,本设计由单片机AT89C51芯片和LED1602液晶显示屏为核心,辅以必要的电路,构成了一个单片机电子时钟。

keil和proteus联合仿真实验报告

keil和proteus联合仿真实验报告 实验目的:1、了解 proteus 软件仿真功能。2、学习 proteus 软件仿真程序的编写方法。3、运用 proteus 软件仿真程序进行相关模拟。4、通过对一些常见问题的分析,培养我们分析和解决问题的能力,提高动手实践的水平。实验原理:用 keil 软件编写一个可执行文件,该文件由一系列的源代码组成,每个源代码包含一定的程序逻辑或者算法。由于 keil 软件是一种仿真软件,因此它会根据编译后的机器语言来显示程序逻辑(例如: a=1; b=1;.; a=1;.; b=1)。通过这种方式在软件中就完成了模拟,即软件可以实现“程序自动生成”,也称为“自动化”,这样就大大降低了开发人员的工作量和开发难度。实验内容及步骤:实验原理:用 proteus 软件编写一个可执行文件,该文件由一系列的源代码组成,每个源代码包含一定的程序逻辑或者算法。由于 proteus 软件是一种仿真软件,因此它会根据编译后的 机器语言来显示程序逻辑(例如: a=1; b=1;.; a=1;.; b=1)。通过这种方式在软件中就完成了模拟,即软件可以实现“程序自动生成”,也称为“自动化”,这样就大大降低了开发人员的工作量和开发难度。proteus 软件仿真实验中使用的程序主要有两部分,第一部分是仿真程序,它按照仿真需求来运行,它主要完成模拟功能;另外一部分是数字电路原理图,它将经过 proteus 仿真之后的结果输出到 PCB 板上去。所以,数字电路设计不仅仅只是设计数字电路本身,而且还应该考虑到整个电子系统。Proteus 软件具备电子电路仿真能力,通过它对被仿真对象的操作与观察,再加入必要的辅助元件,便构建起电

Proteus实验报告

Proteus实验报告 学院: 专业: 班级: 姓名: 学号: 时间:

一.实验目的 1. 掌握单片机最小系统,显示、读键子程序编写方法与简单监控程序结构。 2. 熟悉Proteus的环境。 二.实验内容 1. 在1个7段数码管上显示1个按键的次数,计数超过10后回0。 2. 使用2个按键分别控制数码管显示2位数字的增一与减一。 三.实验要求 1. 要将显示与读键分别写成显示子程序与读键子程序。 2. 画出各程序模块的流程图。 四.实验步骤 1.从Proteus库中调出所需元器件,设计电路图,连线并检查电路。 2.写程序,调试运行,查找修改错误,生成十六进制文件(.hex)。 3.用Proteus软件链接调试。 五.实验程序 #include #include #include #define uchar unsigned char #define uint unsigned int uchar code disptab[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; sbit a0=P3^0; sbit a1=P3^1; void main() { int i,j=0; while(1) { if(a0==0) { i=1000; while(i--); if(a0==0) { while(a0==0); j++; } if(j>9) j=0; } if(a1==0) { i=1000; while(i--); if(a1==0)

{ while(a1==0); j--; } if(j<0) j=0; } P0=~disptab[j]; } } 六.实验结果 七.实验心得 熟悉Proteus的运行环境。掌握单片机最小系统,显示、读键子程序编写方法与简单监控程序结构。学会了Proteus库中调出所需元器件,设计电路图,连线并检查电路。学会了编辑,调试,运行程序,掌握了单片机的运行文件的生成,链接。

相关主题