搜档网
当前位置:搜档网 › 智能交通灯毕业设计论文

智能交通灯毕业设计论文

智能交通灯毕业设计论文
智能交通灯毕业设计论文

毕业设计(论文)原创性声明和使用授权说明

原创性声明

本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。

作者签名:日期:

指导教师签名:日期:

使用授权说明

本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:日期:

学位论文原创性声明

本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。

作者签名:日期:年月日

学位论文版权使用授权书

本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。

涉密论文按学校规定处理。

作者签名:日期:年月日

导师签名:日期:年月日

注意事项

1.设计(论文)的内容包括:

1)封面(按教务处制定的标准封面格式制作)

2)原创性声明

3)中文摘要(300字左右)、关键词

4)外文摘要、关键词

5)目次页(附件不统一编入)

6)论文主体部分:引言(或绪论)、正文、结论

7)参考文献

8)致谢

9)附录(对论文支持必要时)

2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。

3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。

4.文字、图表要求:

1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写

2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画

3)毕业论文须用A4单面打印,论文50页以上的双面打印

4)图表应绘制于无格子的页面上

5)软件工程类课题应有程序清单,并提供电子文档

5.装订顺序

1)设计(论文)

2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订

指导教师评价:

一、撰写(设计)过程

1、学生在论文(设计)过程中的治学态度、工作精神

□优□良□中□及格□不及格

2、学生掌握专业知识、技能的扎实程度

□优□良□中□及格□不及格

3、学生综合运用所学知识和专业技能分析和解决问题的能力

□优□良□中□及格□不及格

4、研究方法的科学性;技术线路的可行性;设计方案的合理性

□优□良□中□及格□不及格

5、完成毕业论文(设计)期间的出勤情况

□优□良□中□及格□不及格

二、论文(设计)质量

1、论文(设计)的整体结构是否符合撰写规范?

□优□良□中□及格□不及格

2、是否完成指定的论文(设计)任务(包括装订及附件)?

□优□良□中□及格□不及格

三、论文(设计)水平

1、论文(设计)的理论意义或对解决实际问题的指导意义

□优□良□中□及格□不及格

2、论文的观念是否有新意?设计是否有创意?

□优□良□中□及格□不及格

3、论文(设计说明书)所体现的整体水平

□优□良□中□及格□不及格建议成绩:□优□良□中□及格□不及格(在所选等级前的□内画“√”)

指导教师:(签名)单位:(盖章)

年月日

评阅教师评价:

一、论文(设计)质量

1、论文(设计)的整体结构是否符合撰写规范?

□优□良□中□及格□不及格

2、是否完成指定的论文(设计)任务(包括装订及附件)?

□优□良□中□及格□不及格

二、论文(设计)水平

1、论文(设计)的理论意义或对解决实际问题的指导意义

□优□良□中□及格□不及格

2、论文的观念是否有新意?设计是否有创意?

□优□良□中□及格□不及格

3、论文(设计说明书)所体现的整体水平

□优□良□中□及格□不及格

建议成绩:□优□良□中□及格□不及格(在所选等级前的□内画“√”)

评阅教师:(签名)单位:(盖章)

年月日

教研室(或答辩小组)及教学系意见

教研室(或答辩小组)评价:

一、答辩过程

1、毕业论文(设计)的基本要点和见解的叙述情况

□优□良□中□及格□不及格

2、对答辩问题的反应、理解、表达情况

□优□良□中□及格□不及格

3、学生答辩过程中的精神状态

□优□良□中□及格□不及格

二、论文(设计)质量

1、论文(设计)的整体结构是否符合撰写规范?

□优□良□中□及格□不及格

2、是否完成指定的论文(设计)任务(包括装订及附件)?

□优□良□中□及格□不及格

三、论文(设计)水平

1、论文(设计)的理论意义或对解决实际问题的指导意义

□优□良□中□及格□不及格

2、论文的观念是否有新意?设计是否有创意?

□优□良□中□及格□不及格

3、论文(设计说明书)所体现的整体水平

□优□良□中□及格□不及格

评定成绩:□优□良□中□及格□不及格教研室主任(或答辩小组组长):(签名)

年月日

教学系意见:

系主任:(签名)

年月日

摘要

本系统主要介绍了以89C51单片机为核心的新交通控制控制系统的设计。这个系统采用手动控制,定时控制,无线遥控和实时控制。实时控制是交通控制中的一种较新颖且有效的方法,该方法应用最优控制理论中的控制思想,动态、实时地控制当前绿灯时间,在保证交通安全的前提下最大限度地提高了交通效率。

系统主要包括软件和硬件两个部分。硬件部分:CPU主控部分电路,交通灯信号的输出和驱动电路,车辆检测出入,键盘及显示电路,时钟电路,通信电路。CPU是整个交通灯信号控制机的核心部件,通过它来控制个电路以实现信号机的各种功能。交通信号输出电路是把主机的交通灯控制信号送驱动器,控制交通灯的状态。时钟电路是为了显示车辆通行的剩余时间。通讯是主机和中央监控系统、路口基站和信号驱动部分的通讯。键盘主要设置控制方式和各个参数。软件部分主要是协助硬件完成各项功能。关键词:89C51,定时控制,遥控

目录

1 概述 (1)

1.1城市交通的作用 (1)

1.2国内外交通系统发展现状 (2)

1.3我国交通中存在的主要问题 (2)

1.4城市交通解决的主要途径 (3)

1.5论文研究的主要内容 (3)

1.6系统的主要特点 (4)

2 交通信号控制系统的研究 (4)

2.1城市交通控制系统概述 (4)

2.2交通规则介绍 (4)

2.3常用交通标志简介 (5)

2.4交通信号控制硬件设备简介 (6)

2.5交通信号控制系统信息传输系统简介 (6)

2.6信号控制方式的分类 (7)

2.7交通信号控制原理 (7)

3 交通控制方案设计 (8)

3.1系统设计目的 (8)

3.2技术框架 (8)

3.3十字路口交通信号相位设置 (9)

3.4不同相位配时方案 (9)

3.5交通信号灯的控制方法 (9)

3.5.1 定时控制 (10)

3.5.2 感应控制 (10)

3.6系统控制方案 (10)

3.6.1感应—定时信号控制方案 (10)

3.7总体方案设计 (11)

3.8十字路口交通信号亮灯的顺序设定 (13)

4 控制系统硬件设计 (14)

4.1硬件系统设计的总体要求 (14)

4.2系统的组成 (14)

4.3该系统主要硬件 (14)

4.3.1 主要芯片的性能介绍 (14)

4.3.2 整个系统的组成框图 (18)

4.3.3 交通灯CPU主控和存储部分系统原理框图 (19)

4.4驱动电路的设计 (19)

4.5键盘及显示电路 (20)

4.6时钟电路的设计 (22)

4.7车辆检测 (23)

4.10串行通信接口的设计 (27)

5 软件设计 (29)

5.1交通控制设计主要满足以下功能 (29)

5.2系统模块组成 (29)

5.3主要程序流程框图 (29)

致谢 (37)

参考文献 (38)

附录一 (39)

附录二 (52)

1 概述

1.1 城市交通的作用

城市是人类从事各类社会、政治、经济和文化的活动中心,在社会发展中起了重要的作用。汽车是这一时代文明的产物,在给人们带来巨大便利的同时,也使人们面临交通拥挤的困惑和道路交通安全事故的烦恼。在我国,随着改革开放政策的贯彻实施,国民经济得到了迅猛发展,道路交通也得到了迅速得法展。与此同时,由于城市化进程的加速,城市规模不断膨胀,城市的经济贸易和社会的活动日益频繁,人员流动与社会交往日益增多,使得城市交通拥挤和交通安全事故问题更加透突出。城市交通作为城市基础设施重要组成部分,如何改善、完善和发展城市交通,越来越被人们所重视。城市交通作为支撑城市活动的主要基础设施,是城市的枢纽和命脉,如不及早实施综合治理,将严重的影响城市居民生活的提高和城市的经济发展。由于我国城市基础设施的发展滞后于城市建设的发展,严重影响了城市及周边地区的经济发展。因此,城市交通问题的解决,不但可以使人们的生命财产有保障,而且可以加快经济的发展和社会的进步。

城市交通系统是城市大系统中非常重要的子系统之一。它与整个城市国民经济的发展和人民生活水平的提高密切相关,它连同社会生产的每个环节,维系着千家万户的日常生活。城市交通一方面受城市结构、经济状况、生产布局、人口分布等因素的制约;另一方面,它的有效性、安全性、可靠性、经济性又影响着城市的工作效率、经济效益和居民生活水平。城市交通系统的运行状况又可以从另一个侧面反映出城市的经济建设、科学技术和城市管理水平。因此,在交通管理中应用先进的科学技术和管理方法保证道路的安全畅通,是经济发展的需要。

交叉路口是城市交通系统重要的组成部分,是城市道路网的咽喉,其通行能力制约着城市道路的通达,是影响道路畅通的瓶颈。众所周知,提高交叉路口通行能力的最有效办法是修建立交桥。鉴于我国道路基础设施现状以及从各个城市的经济水平情况,立交桥尚不能推广普及。因此,人们更多的采用交通控制这一方式来充分利用交叉路口的时空资源,按照现实的交通流给予相应的最适宜的交通控制,最大程度的提高交叉路口的通行能力,不但能提高车辆通过交叉路口的速度、减少延误、节约人们的出行时间,同时能避免该交叉路口发生堵车,影响交叉路口临近路段及更远路段的顺利通行。据有关的资料显示,机动车辆在其减速制动和起动期间所排放的有害物质是其正常行驶时的7倍左右。因此,解决好城市交叉路口通行问题,减少机动车辆在交叉路口附近停车延误对提高社会的经济效益和环境保护都是具有重大意义的。

1.2 国内外交通系统发展现状

随着现代社会对交通运输的日趋依赖,交通系统的控制越来越受到普遍的重视。近年来,英国、美国等西方国家均在某些城市建立智能交通控制系统。在这些系统中,大部分都在路口附近装有车辆检测器,并由各路口的控制设备或工作人员将交通控制参数通过电话线、电缆、光纤或是无线网络等方式输入到微处理器,用小型计算机控制。尤其是伴随着信息技术的发展,交通控制的概念已从交通管理者的行为改变为交通管理者和道路使用者共同的行为,从而使得交通的最优化向全局最优发展。在这些发展中,除了新设备的应用外,数据的采集、传输、处理、存储与发送等技术的发展也起了关键的作用。新型的监测器,包括用摄像机采集图像信息和进行图像处理技术,为人们提供了大量的时变数据;新的通信技术,包括光纤通信、无线通信等技术,能使人们更快的传送数据。而计算机技术的发展,使交通控制系统的发展又向前进了一大步。这些控制技术与现代控制理论、现代的管理方法相结合,使交通控制系统日趋完善。

与国外相比,我国目前的交通控制很落后,目前中国城市的问题呈现如下些问题:管理不力,秩序混乱;没有科学、合理、有效的城市交通监控系统。由此带来的后果表现为道路的通行能力明显低于设计要求且波动性大、出行难,交通事故发生率高,交通环境恶化,出行者易疲劳等问题。

1.3 我国交通中存在的主要问题

交通的发展,促进了人类社会的不断进步。社会的进步,又促进了交通设施的建设、交通工具的改进。然而,随着机动车辆的迅速增加,人们在专区由机动车辆所带来的巨大利润以及充分享受汽车巨大便利的同时,也越来越受到交通拥挤、交通事故频发、环境污染加剧和燃油量上升所带来的困惑。

我国是一个发展中国家,经济还不是很发达,因而产生了具有中国特色的城市交通局面。由于先天的不足,城市交通控制系统存在很多问题,如系统应用环境的变数大、系统适应性差等一些棘手的问题,这些问题可以说是我国城市交通系统的特点。具体表现在如下几个方面:

(1)车型种类繁杂,混合交通严重。

为了适应不同人群和不同消费需求,各种车辆大量混杂在道路中。目前世界上广泛使用的交通控制系统均对路网和流量有一定的要求,对于适应小汽车交通的效果不是很好。

(2)交通事故频发,对人类生命安全构成极大的威胁。

自从汽车问世以来,交通事故就伴随而来。交通事故的产生与道路状况、环境、驾驶员素质等因素有关。车辆多,道路窄,机动车辆和非机动车辆混行,部

分司机和行人不遵守交通规则,构成了城市交通事故主要原因。据统计,每年10万人中就有9人死于车祸,这个数字是和战争中死亡的人数差不多。就西安来说,每年都有很多人死于车祸。

(3)交通拥挤严重,导致出行时间增加,能源消耗增大。

据报纸显示,全国城市的车速非常的低,形势非常的严峻。我国国内百万人口以上的大城市,每年由于交通拥挤带来的直接经济损失多达1600亿,相当于国民生产总值的3.2%。

(4)空气污染和噪声污染严重,且日益加剧。

汽车尾气排放、噪声是当今世界上最严重的环境污染之一。发达国家的调查表明:汽车排放的污染物占大气污染物总量的60%以上;交通噪声占城市环境噪声的70%以上,这种污染物在车辆制动和起动的过程中更为严重。

以上这四个方面的问题集中体现了现阶段我国城市交通系统的突出问题,具体表现在车辆混杂、事故频发、拥挤严重、污染加重。这要求我们找出根本原因,分析问题,找出解决的办法,采用积极的措施,以期彻底改善城市的交通问题。

1.4 城市交通解决的主要途径

针对城市交通拥挤,有人提出修建新的城市道路或是修建新的立交桥。可是,过不了多长的时间,道路又恢复到原来的拥挤状态。一般来说修建新的道路不会改变原来的拥挤,诱发的交通量将很快占据新增的道路设施,这部分潜在的交通量是由于以前受道路供给短缺的制约而未能得到实现的。

由于修建道路并不能从根本上解决城市交通拥挤的问题,人们开始寻求新的解决途径。随着人们对控制理论的认识和利用的不断深入以及计算机技术的发展,利用控制理论和计算机技术来解决交通问题显得越来越重要了。各国相继开发了不同的交通控制系统,为缓解交通问题做出了很大的贡献。随着人工智能这一新兴的科学的兴起,人们开始将其引入到城市交通控制中来。经过大量的探索和研究实践,人们相信智能控制是解决城市交通问题的强有力的工具。

1.5 论文研究的主要内容

随着我国经济的发展,汽车工业也在迅速发展,如果我们做不好城市规划和城市交通控制,那么随之而来的城市交通将会面临严峻的形式。而现有的比较成熟的交通控制系统存在有上节中所讲的诸多问题,针对这些问题,本文把单片机控制引入到城市交通控制系统中,利用其不需要建立精确数学模型和它吸收了人工控制的经验,使得控制过程简化,而且能满足实时性和控制精度的要求。在城市交通控制中,定周期控制在交通不大且稳定的情况下是简单有效的,与感应控

制没什么区别。担当交通量大且拥挤车流变化快的时候,为减少车辆延误,这时就需要采用动态反馈控制系统,本设计采用单片机系统,动态检测,电子警察,当遇到紧急情况,需四面都是红灯的时候,可以进行无线遥控。

1.6 系统的主要特点

(1)采用实时检测控制系统,可以更加灵活的根据道路车辆的流量来调节红、绿灯的延迟时间。

(2)具有手动控制、定时控制和实时控制,可以远距离无线遥控。

(3)采用串行通信,节省电缆,有利于降低成本和安装的难度。

(4)采用标准的接口,有利于模块化设计。

(5)当有紧急车辆通过是,可通过微波遥控路口的红灯以让紧急车辆通过。

2 交通信号控制系统的研究

本章对城市交通信号控制系统的组成、控制方式、控制的基本结构等方面进行详细的介绍。论述的重点是城市交通的信号控制方式。

2.1 城市交通控制系统概述

交通信号控制是利用交通信号,对道路上运行的车辆和行人进行指挥和疏导。所谓交通信号则是指交通管理部门根据国家有关法律规定,在道路上向车辆和行人发出通行、停止或停靠的具有法律效力的信息。交通信号自动控制的重要组成部分,是科学交通管理的一种有效手段。

现代化的交通信号控制系统具有如下功能:

提高现有道路的交通效率;

改善道路交通安全;

减少能量消耗和环境污染;

强化交通执法和指挥交通诱导,为整个社会提供综合的经济效益。事实证明,现代化的交通控制是缓解城市交通问题的重要措施之一。

2.2 交通规则介绍

通行制是道路交通规则中的最基本原则,不然的话,人们在道路上随意走动,必然造成交通的无秩序,车辆和行人各行其道是交通秩序的重要表现。

世界现存有两种通行制:一是左行制,另一是右行制。全世界大约有90%的国家实行右行制,将来全世界有可能统一采用右行制。我国也是采用右行制。

现将一些基本的交通规则介绍如下:

(1)驾驶人员必须对两边的斑马线让道,除非中间有隔离岛。

(2)如果进入转盘左拐弯或右拐弯,必须分别打左右指示灯进入;如果是经过转盘直行,则不要打指示灯。当你进入转盘时,必须让路给所有右边来的车流。出转盘时,必须顺着进入转盘时的车道打左转向灯。

(3)当在十字路口有禁止左转灯时,不能左转。

(4)若经转盘左拐弯,进入和拐弯知道离开转盘都必须一直打左转向灯。(5)自行车道仅供自行车使用;公车道仅供自行车、摩托车和公交巴士使用。其他驾驶人士可以穿越这两种特殊车道借道拐弯或停车(如果标志许可的话),但必须让路给正在合法使用这两种车道的车辆。

2.3 常用交通标志简介

交通标志是交通系统中重要的一部分,用以帮助驾驶员掌握方向情况。现将部分常用标志介绍如下:

表2-1指示标志

直行向左转弯向右转弯直行向右转弯向左和向右转弯靠右侧道路行驶靠左侧道路行驶立交直行/右转弯行驶

环岛行驶直行向左转弯立交直行和转弯行驶鸣喇叭

单向行驶(直行)机动车道准许试刹车

单向行驶(向左/向右)

2.4 交通信号控制硬件设备简介

交通信号灯的硬件设备。其构成可分为以下五部分:

(1)信号灯:就是悬挂在道路上空或设置在路侧灯柱上的发光装置,内装彩色信号灯;

(2)车辆检测器:车辆通过检测器时,由感应原理可以检测交通参数的设施,是感应式信号控制系统的必要设施;

(3)无线遥控装置:启闭信号灯,控制紧急车辆通过时的红灯;

(5)单片机系统:整个信号灯控制的核心;

(6)附属设施:包括灯杆灯柱及其基础,装置信号控制机的底座与基础,埋设或悬挂传输线路的管道、线杆等。

2.5 交通信号控制系统信息传输系统简介

信息传输系统,也叫通讯系统,就是把信息从一个地方传输到另一个地方。信息传输系统也是交通信号控制系统中的重要组成部分。通信系统的组成:(1)通信的信道

a)信道的容量信息传送的通路通常称为信道或线路。描述一个信道不仅要通过它所连接的点到点的地理通路,而且还要根据它所具有的携带信息的容

量。

b)信道的方向

单工:在信息源和接收器之间提供单一的单向性通道。

半双工:这种通信方式是在A站和B站之间只有一个通信信道,数据要么是A站发送,B站接收,要么B站发送,A站接收。

双工:允许信息同时在两个方向上传输的信道。

(2)数字数据传输

(3)调制和解调

2.6 信号控制方式的分类

使用信号机控制交通流称为交通信号控制,交通信号控制的目的是与交通量相适应,用时间比分配给相互交错的交通流通行权。信号控制的方式和分类有很多种。本文按控制的范围将信号控制分为点控、线控和面控。

(1)点控

单点交叉口交通信号控制通常简称为“点控制”。它以单个交叉口为控制对象,通过灯色的变化,在保证安全的前提下尽可能多地使各方向车辆通过。它是交通信号控制的最基本形式。点控制又可分为:定周期控制、感应式信号控制及模糊逻辑式信号控制。(2)线控

“线控”是干道交通信号协调控制系统的简称,就是把一条主干道上一批相邻的交通信号联动起来,让干线上交叉口的信号控制器具有相同的周期,绿信号开启时间相继错开,从而使干线上行驶的车辆尽可能少遇或不遇红灯以减少延误,以便提高整个干道的通行能力。

(3)面控

区域交通信号控制系统简称为“面控”,它把整个区域中所有信号交叉口作为协调控制的对象。控制区内各受控交通信号都受中心控制室的中央控制机集中控制,从而可以提高道路通行能力,增加交通安全,节省能源和减少污染等等。

无论哪种控制,其控制变量主要有三个:信号周期,绿信比和相位差。点控制只需控制前两个变量即可。总之,交通控制过程可描述如下:根据交通法规,通过信号灯色的变化指示或提示车辆在交叉口处通信或暂停,在保证安全的前提下最大限度地提高交叉路口的通行能力。

2.7 交通信号控制原理

交通信号控制原理是按照一定的控制程序,在交叉路口的每个方向上通过红、黄、绿三色灯循环显示,指挥交通流,在时间上实施隔离。交通规则规定:红灯——停止通行,绿灯——放行,黄灯——清尾,即允许已过停车线的车辆继

续通行,通过交叉路口。信号相位方案是指交通信号灯轮流给某些方向的车辆或行人分配交通权的一种顺序安排。我们把每一种控制(即对各进口道不同方向所显示的不同色灯的组合)称为一个信号相位。而一个相位又对应多个步伐,每一步伐对应该时刻不同灯色的状态。

路口的交通灯总在进行着一系列的相变以控制车辆的运动,一系列的相就组成了周期,如附表所示。交通灯优化控制问题,就是通过改变这些相的持续时间以及相邻路口交通灯的相的周期,使目标达到最优。

3 交通控制方案设计

城市交通中,一些重要的交叉路口均设有分流岛(见图3.1所示),以起到车辆右转的分流作用,这样在交通灯控制中无须考虑右转的相位设置,提高交叉路口的畅通率。但是随着每年大量的新车上路,城市交通也愈来愈备感压力,在高峰期的时候,车流缓慢、堵车严重的现象也屡见不鲜。城市交通问题的治理千头万绪,如何针对国情选择入手点,采用何种技术手段既可行又能奏效,又保证投资的长远效益,使系统具有合理的前瞻性和先进性,是交通技术人员迫切面对的问题。

本论文提出一套以单片机控制系统为主框架的解决方案,针对城市交通控制系统及其十字路口的交通信号控制机的设计,来解决这类问题。

图3-1十字交叉路口示意图

3.1 系统设计目的

系统设计目标包括:改善控制区域的交通秩序;增加现有道路设施的通行能力;减少交通事故;减少交叉口停车次数和提高交叉口行驶速度(从而减少废气和噪音污染);创造更整洁文明的现代化交通环境。

3.2 技术框架

采用成熟的和已经市场化的先进技术和体系结构来保证系统的可靠运

转以及领先的实用性能,同时有效控制成本。

系统采用模块化设计。这意味着不仅可以方便地扩大和缩小系统规模而且系统可以容易实现升级和功能扩展。

3.3 十字路口交通信号相位设置

信号机在一个信号周期内的各个状态称为相(即一个周期内有几种灯色变化)。例如在一个色灯变色周期内有两种状态的信号, 即灯色为一红一绿,称为两相。对控制交通流而言, 相位越多, 消除的冲突点就多, 交通就越安全。但从交叉口通行效率而言, 相位越多, 相应的信号周期就长, 这样车辆在交叉口上延误的时间就越长, 通行效率就越低。相反,相位越少, 交叉口上的通行效率就越高, 但安全性就越差。

3.4 不同相位配时方案

(1)二相位信号配时

这种配时方案适用于任何平面十字交叉口, 包括具有左转、右转专用分流车道的交叉口。其控制设备简单, 造价低, 容易实现。由于相位少, 信号周期短, 故交通效率高。但冲突点比较多, 安全性较低。

(2)三相位信号配时

这种方案分为左拐变优先和任一向优先两种情况。三相位配时方案只在具有左转专用分流车道或任何一方向相对于其它方向而言交通量比较大时才适用,

其控制设备较为复杂且造价较高, 再加上信号周期长, 故交通效率有所降低。因设置了专用左转相位及分离了重交通流, 其安全性有所提高。

(3)四相位信号配时

这种配时方案只有在各个车道能分离的十字交叉口才能使用。其控制设备较为简单, 由于完全消除了冲突点, 其安全性很高。但因其相位多, 信号周期长, 在小交通量的情况下, 其交通效率较低。但在重交通流时, 因各向车流会车时没有延误, 因此相对于二相位配时方案而言, 交通效率有明显提高, 安全性也高得多。

(4)五至八相位配时

这些配时方案也只能用在各个车道能分离的交叉口上。其控制设备复杂, 安全性亦很高。但随着相位的增加, 其信号周期也大大增加, 使得交通效率变得十分低下。若无特殊需要, 这些控制方式一般不予采用。

3.5 交通信号灯的控制方法

3.5.1 定时控制

交叉口信号控制机按事先设置的配时方案运行, 称为固定周期控制。一天只用一个配时方案的称为单段式定时控制; 一天按不同时段的交通量采用几个配

时方案的称为多段式定时控制。

3.5.2 感应控制

感应控制是在交叉口的进口道上设置车辆检测器, 信号灯配时方案可随检

测器检测到的车流信息而随时改变的一种配时控制方式。感应控制包括半感应控制和全感应控制。前者是指只在交叉口部分进口道上设置检测器的感应控制; 后者则是指在交叉口全部进口道上设置检测器的感应控制。

感应控制按工作原理分为两种:

(1)实时感应实时控制

其工作原理是: 任一相开始绿灯, 感应信号控制机内设一个初始绿灯时间Gmin。当绿灯开放一段时间到Gs时, 开始检测后边有无后续车辆到达。若有, 则增加一个单位绿灯延长时间G;若无车辆则继续检测, 当达到最大极限绿灯时间Gmax时, 即使后边有来车, 也不再增加绿灯时间。实际绿灯时间G大于等于初始时间Gmin,而小于极限延长时间Gmax。

(2)实时感应事后控制

在交叉口进口道上设置两个车辆检测器A 和B, 相距50米以上。检测该车道在本次绿灯和下次绿灯之间在A 和B 间的车辆数, 由此配置该相位第二次绿灯的时间。在这种控制方式下, 每一相的时间是固定的, 但各个相位的时间可能是不同的。譬如某一车道当前的绿灯时间是30秒, 但下一次绿灯时间可能就不是30秒。

3.6 系统控制方案

3.6.1感应—定时信号控制方案

为了克服定时控制中单段式控制不能适应交通流的变化, 而多段式控制的

最佳绿灯时间整定困难的缺点, 将定时控制加以改进, 得到一种较好的控制方法, 称之为“感应—定时信号控制”, 其工作原理如下:

交通控制机工作于感应信号控制方式时, 记录每个周期各相位的实际绿灯

时间, 并对其进行统计。若测得的实际绿灯时间变化很大, 则说明此时段该交叉口交通量不稳定, 宜采用感应信号控制方式; 若在规定的周期数内所测到的实际绿灯时间在给定的范围内波动, 则说明此时段车流量基本稳定, 宜采用定时信号控制方式, 控制机立即将系统切换为该运行方式, 其各相位最佳绿灯时间就是统

计所得的实际平均值;同时继续记录和统计当前实际绿灯时间,当统计结果超过给定的允许范围时, 又切换到另一种新的运行方式或状态。这种控制方式既克服了感应信号控制方式不能用数字显示器显示当前灯色剩余时间的缺点和多段式定

时控制方式最佳绿灯时间整定比较困难的缺点, 又具有能适应不同时段车流量

的特点。

3.7 总体方案设计

在控制方法方面, 定时控制虽不太适于交通流量有很大变化的交叉口的控制, 但能用数字显示器显示当前灯色剩余时间, 以便于驾驶员随时掌握自己的驾驶动作, 及时停车或启动。感应控制虽能适合各种交叉口的控制, 但不易联合控制, 又不便于数字显示器显示当前灯色剩余时间。为使控制机既适合各种交叉口, 又能在需要时联机控制, 因此在系统中同时采用两种控制方法。在相位方面, 四相位控制具有很高的安全性, 但只能在各种车道分离的交叉口使用, 且在轻交通流的情况下交通效率较低。二相位控制其安全性稍低, 但能在各种交叉口运行, 且交通效率高。因此, 为适应不同的实际情况, 在系统中选用二相位和四相位控制两种方式。

在过去的交叉路口交通信号控制中,由于交叉路口车道窄,车流量较小,一般只采用两个相位,即两相制,如东西向放行,显绿灯,则南北向禁止,显红灯,这是第一相。第二相时,南北放行,显绿灯,东西向禁止通行,显红灯。

在交叉路口几何特性一定的条件下,交叉路口的信号配时是提高交叉路口通行能力、减少车辆在交叉路口的排队延误和停车次数最为重要的决定因素。交叉路口的信号配时包括三个方面的内容:信号周期、绿信比和信号周期的起始时间。

第一相位第二相位

图3-2 十字路口二相位信号控制示意图

而在现在的交叉路口控制中,由于车道加宽,车流量也比以前大大增加了,

(完整版)基于单片机的十字路口交通灯设计毕业设计

以下文档格式全部为word格式,下载后您可以任意修改编辑。 摘要 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MCS-51系列单片机AT89C51为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P3口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过P1口输出,显示时间通过P0口输出至双位数码管)。本系统设计周期短、可靠性高、实用性强、操作简单、维护方便、扩展功能强。 关键词:单片机;交通灯 Abstract In recent years, with the rapid development of science and technology, the application of SCM is going deep, driving the traditional detection techniques to renew day by day. In the real-time examination and in the automatic control monolithic

integrated circuit application system, the monolithic integrated circuit often took a core part uses. The monolithic integrated circuit aspect knowledge is only insufficient, but should also act according to the concrete , to be improved. The intersection vehicles shuttle, the pedestrian is bustling, car dealership traffic lane, person sidewalk, methodical. Then depending on what to realizes this orderly order? the traffic lights on the automatic control system. There are great number kinds of modes to control the traffic lights. The system uses a series of MCS-51 as the center AT89C51 single-chip device designed to control the traffic lights, so as to realize the function of setting red, green light time by 8051 chip’s P3 port according to the actual traffic flows, lighting the red-light and green-light by turn and lighting the yellow-light to warm while 5 seconds left(outputting the traffic light signal by P1,outpuing the time by P0 and showing the time on double-digits nixie tube). Short of the design cycle, , easy maintenance, the expansion of powerful is this system. Key words:SCM; MCU; traffic light 目录 中英文摘要························1 设计要求·························2设计目的·························3 方案比较、设计与论证···················

厦门大学毕业设计(论文)

厦门大学 级毕业设计(论文) (页面设置:论文版心大小为155mm×245mm,页边距:上2.6cm,下2.6cm,左2.5cm,右2cm,行间距20磅,装订线位置左,装订线1cm,) 此处为论文题目,黑体2号字 2~3~qq4~9~5~2~6~3~5 / 2`6248qq0221 以下各项居中列,黑体小四号) 年级: 159dian 2717hua 1195 学号: 姓名: 专业: 指导老师: (填写时间要用中文) 年月

院系专业 年级姓名 题目 指导教师 评语 指导教师 (签章) 评阅人 评语 评阅人 (签章) 成绩 答辩委员会主任 (签章) 年月日 毕业设计任务书 班级学生姓名学号专业 发题日期:年月日完成日期:年月日 题目

题目类型:工程设计技术专题研究理论研究软硬件产品开发 一、设计任务及要求 二、应完成的硬件或软件实验 三、应交出的设计文件及实物(包括设计论文、程序清单或磁盘、实验装置或产品 等) 四、指导教师提供的设计资料 五、要求学生搜集的技术资料(指出搜集资料的技术领域) 六、设计进度安排 第一部分(4 周)第二部分(6 周)第三部分(2 周)

评阅及答辩(1 周) 指导教师:年月日系主任审查意见: 审批人:年月日 注:设计任务书审查合格后,发到学生手上。 ××××大学××××××××学院20XX年制

摘要正文略 关键词:关键词;关键词;关键词;关键词(关键词之间分号隔开,并加一个空格)

Abstract 正文略 Keywords: keyword; keyword; keyword; keyword

毕业论文交通灯

1.引言 现代社会,红绿灯被安装在各个路口上,已经成为疏导行驶车辆最常见和最有效的方法和手段。据调查显示,这一技术在19世纪就已出现了。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。 1.1交通信号灯应用现状 随着世界范围内城市化和机动化进程的加快,城市交通越来越成为一个全球化的问题。自改革开放以来,我国的城市规模和经济建设都有了飞速的发展,城市化进程在逐步加快,城市人口在急剧增加,车辆也越来越多,大量流动人口涌进城市,人员出行和物资交流频繁,随之而来的交通事故也发生地更加频繁,使城市交通面临着严峻的局势。当前,全国大中城市普遍存在着道路拥挤、车辆堵塞矛盾,使原来不太突出的交通问题被提上了日程。 信号灯的出现,虽然使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有了明显效果。同时随着人民的生活水平日渐提高,越来越多的汽车进入寻常老百姓的家庭,再加上政府大力发展的公交、出租车,车辆越来越多了。这不仅要求道路要越来越宽阔,而且要求有新的交通管理模式的出台。旧有的交通控制系统的弊病和人们越来越高的要求激化了市交通系统的管理机制不适应,使得对交通灯控制要求也就越来越高,交通灯的设计有很多实现的方法。从交通灯的重要性看来,交通灯工作一定要是稳定可靠的。如今红绿交通信号灯作为交管部门管理交通的重要工具之一,如何提供一个高效率的交通控制系统有着明显的必要性。 目前设计交通灯的方案有很多,有应用CPLD设计实现交通信号灯控制器方法;有应用PLC实现对交通灯控制系统的设计;有应用单片机实现对交通信号灯设计的方法。国内的交通灯一般设在十字路口,在醒目位置用红、绿、黄三种颜色的指示灯。加上一个倒计时的显示计时器来控制行车。本系统就是在现有的基础上外加一些辅助功能,从而使交通信号倒计时系统功能更加完善、使用更加灵活。

智能交通灯的毕业设计

太原科技大学 毕业设计(论文)任务书化学与生物工程学院机电一体化专业10级3班设计人(作者):徐今 同组人:徐今吉武师海斌韩志刚 王煜贺斌兰晓江邢超斌一.毕业设计(论文)题目: 智能交通灯 二.原始数据(材料): (1)单片机LED灯显示设计 (2)用实验室模块演示 (3)软件protus仿真演示 (4)亚龙实验平台

目录 摘要------------------------------------1 AT89C51单片机简介----------------------2 一、设计目的---------------------------4 二、设计目标---------------------------4 三、设计任务---------------------------5 四、设计内容---------------------------6 (1)指示灯燃亮的状态----------------------6(2)设计并绘制硬件电路图。-------------7(3)设计程序流程图---------------------8(4)编程-------------------------------9 五、交通管理方案----------------------10 六、结束语----------------------------11 七、参考文献--------------------------13

摘要 交通在人们生活中占有重要地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量,提高道路通行能力,减少交通事故,有明显的效果。近年来,随着科技的飞速发展,单片机的应用不断深入,同时带动传统控制检测技术日益更新和自动控制的单片机应用系统中。单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89C51为中心器件,来设计交通灯控制器系统实用性强,操作简单,扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示。本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、复位电路等其它部分组成。较好的模拟实现了十字路口可能出现的交通情况。 关键字:电子线路、AT89C51、交通灯

重庆大学本科毕业设计(论文)

重庆大学本科学生毕业设计(论文) 浅析环境心理学在现代商业展示设计中的运用 学生:崔海侠 学号:20070309 指导教师:杨定强 专业:艺术设计(视觉传达方向) 重庆大学艺术学院 二O一一年六月

Graduation Design(Thesis) of Chongqing University Analyses the Environmental Psychology Application of Modern Commercial Display Design Undergraduate: Cui Haixia Supervisor:Yang Dingqiang Major:ArtDesign(visualcommunication direction) College of Arts Chongqing University June 2011

摘要 随着展示设计学科的不断完善,环境心理学在展示设计中的重要作用日益显现,并且成为现代展示设计人性化设计的指导理论之一。是为了了解人在不同展示环境下的心理和行为,科学而艺术地把握展示环境设计中各要素关系,创造符合人们心理需要的展示空间环境。环境心理学在展示设计中的应用,与以往相比,更加强调“以人为本”的设计理念,强调以人的感受作为设计的终极目标。我们研究人在环境中的行为、心理及它们之间的关系和相互作用的目的就在于:了解生活中人们的行为、心理倾向,从而使我们对人环境的关系、对怎样创造展示空间环境,都应具新的更为深刻的认识,使其及时地反馈到我们展示空间的设计中去,合理的组织空间,设计好界面、颜色和光照,创造出功能合理、舒适优美、满足人们物质和精神生活需要的展示环境。 关键词:环境心理学展示设计

基于PLC的智能交通灯控制系统设计 开题报告

南京师范大学中北学院
毕业设计(论文)开题报告
( 10 届)
题 目: 基于 PLC 智能交通灯控制系统设计
专 业: 电气工程及其自动化
姓 名: xxx 学 号: xxx
指导教师: xxx 职 称:
填写日期:
2014 年 2 月 20 日
南京师范大学中北学院教务处 制
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格

审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业 设计(论文)工作前期内完成,经指导教师签署意见及院、系审查 后生效;
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计 的电子文档标准格式(可从教务处网址上下载)打印,禁止打印在 其它纸上后剪贴,完成后应及时交给指导教师签署意见;
3.有关年月日等日期的填写,应当按照国标 GB/T 7408—94《数 据元和交换格式、信息交换、日期和时间表示法》规定的要求,一 律用阿拉伯数字书写。如“2011 年 4 月 26 日”或“2011-04-26”。
4.院系审查意见栏签章:自办专业盖中北学院教学院长签名章、 中北学院公章,非自办专业盖联办二级学院教学院长签名章、联办 二级学院公章。

毕 业 设 计(论 文)开 题 报 告
1.本课题的目的及研究意义:
随着我国交通事业的迅速发展,各种公交、运输汽车、私家车等车的急速增加,使 得城市道路交通日益堵塞,交通在许多城市已经成为“瓶颈”问题。因此,提高城市路 网的通行能力、实现道路交通的科学化管理迫在眉睫。
虽然各城市已在十字路口设置了交通灯,对交通进行了有效的疏通,但是随着社会、 经济的快速发展,原先的交通灯控制系统已经不能适应现在日益繁忙的交通状况。如何 改善交通灯控制系统,使其适应现在的交通状况,成为研究的课题。
传统的十字路口交通控制灯,通常的做法是:事先进行车流量的调查,运用统计的 方法将两个方向红绿灯的延时预先设置好。然而,实际上车辆流量的变化往往是不确定 的,有的路口在不同的时段甚至可能产生很大的差异。即使是经过长期运行、较适用的 方案,仍然会发生这样的现象:绿灯方向几乎没有什么车辆,而红灯方向却排着长队等 候通过。可见,统计的方法已不能适应迅猛发展的交通现状,更为现实的需要是:能有 一种能够根据车流量变化适时调节的交通灯控制系统。
我所要研究的就是基于 PLC 的智能交通灯控制系统。智能交通系统(ITS—— Intelligent Transport Systems)ITS 是一个跨学科、信息化、系统化的综合研究体系, 其主要内容是:将先进的人工智能技术、自动控制技术、计算机技术、信息与通讯技术 及电子传感技术等有效的集成,并应用于整个地面交通管理系统而建立的一种在大范围 内、全方位发挥作用的,实时、准确、高效的综合交通运输管理系统。由于交通系统具 有较强的非线性、模糊性和不确定性,是一个典型的分布式非线性系统,而且具有多种 信息来源、多传感器的特点,用传统的理论与方法很难对其进行有效的控制。把先进的 智能控制技术、信息融合技术、智能信息处理技术与交通管理技术结合起来,代表着城 市交通信号控制系统发展的方向。
智能交通的发展是现代社会经济发展的客观要求,交通运输是国民经济和现代社会 发展的基础。由于现代社会城市化速度越来越快、国民经济的高速增长、全球经济的一 体化进程加快、个人旅行与休闲时间的不断增加以及人们对交通需求越来越高,智能交 通便成为现代社会经济发展的客观要求。

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

(整理)成都大学毕业设计(论文)撰写规范

毕业设计(论文)撰写规范 学士学位论文(设计说明书)是学生在教师的指导下经过调查研究、科学实验或工程设计,对所取得成果的科学表述,是学生毕业及学位资格认定的重要依据。其撰写在参照国家、各专业部门制定的有关标准及语法规范的同时,应遵照如下规范: 1.论文结构及写作要求 论文(设计说明书)应包括题目、中文摘要与关键词、英文题目、英文摘要与关键词、目录、正文、致谢、参考文献和附录等部分。 1.1 题目 题目应该简短、明确、有概括性。论文题目一般中文题目不超过25个字,外文题目不超过15个实词,不使用标点符号,中外文题名应一致。标题中尽量不用英文缩写词,必须采用时,应使用本行业通用缩写词。 1.2 摘要与关键词 1.2.1 摘要 摘要是对论文(设计说明书)内容不加注释和评论的简短陈述,要求扼要说明研究工作的目的、主要材料和方法、研究结果、结论、科学意义或应用价值等,是一篇具有独立性和完整性的短文。摘要中不宜使用公式、图表以及非公知公用的符号和术语,不标注引用文献编号。中文摘要一般为300字左右,外文摘要为250个实词左右,外文摘要应与中文摘要内容一致。 1.2.2 关键词

关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列3~5个,按词条的外延层次从大到小排列,应在摘要中出现。 1.3 目录 目录独立成页,包括论文中全部章、节的标题及页码。目录中的标题应与正文中的标题一致,附录也应依次列入目录。 1.4 论文正文 论文正文包括绪论、论文主体及结论等部分。 1.4.1 绪论 绪论应综合评述前人工作,说明论文工作的选题目的、背景和意义、国内外文献综述以及论文所要研究的主要内容。对所研究问题的认识,以及提出问题。 1.4.2 论文主体 论文主体是论文的主要部分,应该结构合理,层次清楚,重点突出,文字简练、通顺。 1.4.3 结论(结果与分析) 结论是对整个论文主要成果的归纳,应突出论文(设计)的创新点,以简练的文字对论文的主要工作进行评价。若不可能导出应有的结论,则进行必要的讨论。可以在结论或讨论中提出建议、研究设想及尚待解决的问题等等。 1.5 致谢 向给予指导、合作、支持及协助完成研究工作的单位、组织或个人致谢,内容应简洁明了、实事求是,避免俗套。

单片机为核心的智能交通灯控制系统设计毕业论文

单片机为核心的智能交通灯控制系统设计毕业论文 目录 1 概述 (1) 1.1城市交通的作用 (1) 1.2国外交通系统发展现状 (2) 1.3我国交通中存在的主要问题 (2) 1.4城市交通解决的主要途径 (3) 1.5论文研究的主要容 (4) 1.6系统的主要特点 (4) 2 交通信号控制系统的研究 (5) 2.1城市交通控制系统概述 (5) 2.2交通规则介绍 (5) 2.3常用交通标志简介 (6) 2.4交通信号控制硬件设备简介 (7) 2.5交通信号控制系统信息传输系统简介 (7) 2.6信号控制方式的分类 (7) 2.7交通信号控制原理 (8) 3 交通控制方案设计 (9) 3.1系统设计目的 (9) 3.2技术框架 (9) 3.3十字路口交通信号相位设置 (10) 3.4不同相位配时方案 (10) 3.5交通信号灯的控制方法 (11) 3.5.1 定时控制 (11) 3.5.2 感应控制 (11) 3.6系统控制方案 (11) 3.6.1感应—定时信号控制方案 (11) 3.7总体方案设计 (12) 3.8十字路口交通信号亮灯的顺序设定 (15)

4 控制系统硬件设计 (16) 4.1硬件系统设计的总体要求 (16) 4.2系统的组成 (16) 4.3该系统主要硬件 (16) 4.3.1 主要芯片的性能介绍 (16) 4.3.2 整个系统的组成框图 (21) 4.3.3 交通灯CPU主控和存储部分系统原理框图 (22) 4.4驱动电路的设计 (22) 4.5键盘及显示电路 (23) 4.6时钟电路的设计 (25) 4.7车辆检测 (27) 4.10串行通信接口的设计 (32) 5 软件设计 (34) 5.1交通控制设计主要满足以下功能 (34) 5.2系统模块组成 (34) 5.3主要程序流程框图 (34) 致谢 (43) 参考文献 (44) 附录一 (45) 附录二 (59)

交通灯控制系统毕业论文

基于单片机的交通灯设计

摘要: 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。本系统实用性强、操作简单、扩展功能强。 关键词: 单片机交通灯闯红灯检测车流量 1 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少

交通灯设计 毕业论文

1 绪论 今天,红绿灯安装在各个路口上,已经成为指挥交通车辆最普遍的交通技术。但是这种技术早在19世纪就已经出现了。 世界上最早的交通信号灯出现于1858年,在英国伦敦道口上安装了以燃煤气为光源的红,蓝两色的机械扳手式交通信号灯,用以指挥车辆的通行。接着1868年,在英国伦敦威斯敏斯特区的议会大厦前的广场上,出现了世界上最早的煤气红绿灯。再到20世纪初,美国出现了以电气启动的红绿灯,这种红绿灯由红黄绿三种颜色圆形的投光器组成。红灯亮表示禁止车辆通行,绿灯亮表示允许车辆通行,黄灯闪烁表示警告。到了20世纪中期相继出现了带有各种红外线的红绿灯、压力探测红绿灯、扩音器红绿灯等各种交通信号灯。 交通信号灯的出现,使交通规则得到了很大的改善,对于车辆的管理和通行,交通事故的发生得到了明显的减少。1968年,联合国《道路交通和道路标志信号协定》对各种交通信号灯做了明确的规定。绿灯表示通行,在绿灯下,车辆向相应的方向行驶,除非另一种标志禁止某一种转向。红灯表示禁止,在红灯下,车辆必须在相应的停车线后停车。黄灯表示警告,在黄灯下,已经穿越停车线的车辆和行人应继续向前,而为超出停车线的车辆在停车线后等待。对于左转和右转的车辆在通过道口时,应先让在道口上行驶的车辆或者人行道行走的行人优先通行。 随着经济的快速发展,交通运输中出现了一些传统方法难以解决的问题。如:道路拥堵、塞车、交通事故等现象越来越严重,直接造成的经济损失也越来越大,而且还在以一定的速率在不断的增长。由于人民生活水平的提高,人们对交通安全也提出了更高的要求。在交通中管理引入单片机交通灯控制代替交管人员在交叉路口服务,提高交通的管理质量和服务要求,同时也提高了交通运输的安全性。并在一定程度上尽可能的降低由道路拥堵造成的经济损失,同时也大大的降低了人力资源的消耗。 中国是世界人口大国,而中国的车辆也在不断的增加,交通灯的管理控制更是起着重要的作用,而智能交通灯的出现更是发挥了他举足轻重的低位。它不仅可以替代了更多的人力资源,从而也带来了更多的经济和社会效益,为创造美好城市发挥着更大的作用。

(完整版)PLC交通灯毕业设计论文

题目:十字路口交通灯的设计与调 试 院系:机电工程 专业:应用电子技术 班级:应用电子技术(3)班 学号: 学生:晁祥义 辅导老师:李鸿征

2013年5月29号

摘要 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CADCAM将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩 短车辆通行等候时间,实现科学化管理. 关键词:交通灯 PLC 程序设计 目录 第一章 PLC的特点及应用 1.1 概述 可编程控制器(Programmable Controller)是计算机家族中的一员,

是为工业控制应用而设计制造的。早期的可编程控制器称作可编程逻辑控制器(Programmable Logic Controller),简称PLC,它主要用来代替继电器实现逻辑控制。随着技术的发展,这种装置的功能已经大大超过了逻辑控制的范围,因此,今天这种装置称作可编程控制器,简称PC。但是为了避免与个人计算机(Personal Computer)的简称混淆,所以将可编程控制器简称PLC。 1.2 PLC的特点 1可靠性高,抗干扰能力强; 2 通用性高,使用方便; 3程序设计简单,易学,易懂; 4采用先进的模块化结构,系统组合灵活方便; 5系统设计周期短; 6安装简便,调试方便,维护工作量小; 7对生产工艺改变适应性强,可进行柔性生产; 1.3 PLC的应用 目前,PLC在国内外已广泛应用于钢铁、石油、化工、电力、建材、机械制造、汽车、轻纺、交通运输、环保及文化娱乐等各个行业,使用情况大致可归纳为如下几类。

大学本科毕业论文、毕业设计

山东大学本科毕业论文、毕业设计 工作管理条例(试行) 毕业论文、毕业设计教学过程是高等学校实现本科培养目标要求的重要培养阶段。毕业论文、毕业设计是在大学期间学生毕业前的最后学习阶段,是学习深化和提高的重要过程;是学生运用已学过知识的一次全面总结和综合训练;是学生素质与能力培养效果的全面检验;是对学生的毕业及学位资格进行认证的重要依据;是衡量教育质量和办学效益的重要评价内容。因此,搞好比业论文、毕业设计工作,对全面提高教学质量具有重要意义。为了加强对毕业论文、毕业设计工作的规范化管理,根据教育部有关规定和本科专业培养计划的要求,结合我校实际情况,特制定本条例。 本条例适用于全日制本科生毕业论文、毕业设计,全日制专科生毕业论文、毕业设计亦可参照执行。 一、目的和要求 (一)目的 毕业论文是高等学校的应届毕业生在毕业前所撰写的学位论文,表明作者在科学研究工作中取得的新成果和新见解,反映作者具有的科研能力和学识水平。毕业设计是高等学校技术科学与工程技术专业的应届毕业生在毕业前接受课题任务,进行实践的过程及取得的成果。毕业论文、毕业设计的目的是培养学生综合运用所学的基础理论、专业知识和基本技能,提高分析和解决实际问题的能力,使学生在知识、能力素质方面得到综合训练、转化和提高。 (二)要求 各院(部)要加强对毕业论文、毕业设计工作的领导。在毕业论文、毕业设计工作中,要认真贯穿“三个结合”的原则:理论与实践相结合,教学与科研、生产相结合,教育与国民经济建设相结合。通过三个结合,实现毕业论文、毕业设计的教学、教育功能和社会功能。按照高等学校人才培养目标和毕业论文、毕业设计工作教学目标的基本要求,重视学生多学科的理论、知识和技能等综合运用能力的实际训练,加强学生创新意识和创造能力的培养,不断提高毕业论文、毕业设计质量、人才培养质量及教学管理工作水平。 搞好毕业论文、毕业设计工作的关键在于指导教师。各院(部)要采取有效措施,加强指导教师队伍的建设,按要求选配好指导教师,并充分发挥指导教师的作用。要加强对学生毕业论文、毕业设计的选题、指导、答辩、成绩评定等各个环节的质量检查,切实保证毕业论文、毕业设计的质量。 除医学类部分专业(如临床医学、口腔医学、护理学等专业)外,其余专业都要进行毕业论文、毕业设计工作。 二、进程安排

交通灯控制系统毕业设计论文

目录 第一章前言 1.1 课题背景 1.2 研究目的和意义 第二章可编程程序控制器(PLC) 2.1 PLC概述 2.1.1 PLC的发展历程 2.1.2 PLC的发展趋势 2.1.3 PLC的应用 2.2 PLC的硬件结构 2.3 PLC的工作原理 2.4 本章小结 第三章系统设计 3.1控制要求 3.2系统设计方案分析 3.3硬件设计 3.3.1 PLC的选型 3.3.2 PLC的地址分配 3.3.3 PLC的接线形式 3.4 系统程序设计 3.4.1系统的梯形图 3.4.2语句表

3.4.3系统程序分析 3.5 本章小结 第四章系统检测与调试 4.1检测与调试 4.2本章小结 结论 致谢 参考文献 附录1 S7-200PLC的CPU的I/O规范附录2 S7-200PLC的CPU的输入规范 附录3 S7-200PLC的CPU的输出规范

第一章前言 1.1课题背景 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,绿两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。1914年,电气启动的红绿灯出现在美国。这种红绿灯由红绿黄三色圆形的投光器组成,安装在纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。 1.2研究目的和意义 在十字路口设置交通灯可以对交通进行有效的疏通,并为交通参与者的安全提供了强有力的保障。但是随着社会、经济的快速发展,原先的交通灯控制系统已经不能适应现在日益繁忙的交通状况。如何改善交通灯控制系统,使其适应现在的交通状况,成为研究的课题。传统的十字路口交通控制灯,通常的做法是:事先经过车辆流量的调查,运用统计的方法将两个方向红绿灯的延时预先设置好。然而,实际上车辆流量的变化往往是不确定的,有的路口在不同的时段甚至可能产生很大的差异。即使是经过长期运行、较适用的方案,仍然会发生这样的现象:绿灯方向几乎没有什么车辆,而红灯方向却排 1

【完整版】长安大学毕业论文设计

本工程为西安某学院办公楼设计,该楼为六层,总高23.3米,总建筑面积约为 6287.76m2。本设计依据设计任务书,运用力学钢筋混凝土、结构力学基本原理及土力学和对材料性质的深刻了解,遵守设计规则,保证建筑结构合理,所有材料的质量和强度合格,工艺良好。 本建筑设计分为:建筑设计、结构设计。 建筑设计采取积极措施来增强建筑物的外表强度和坚固性,给人以心理上的安全感。另外,还要有艺术的美感,要有时代气息。 结构体系是钢筋混凝土框架结构,结构设计是使结构物得到足够的强度、刚度和韧性的过程。结构体系选择后,进行荷载分析和强度分析,同时考虑与建筑经济学的关系,把材料制做安装所需成本、所用时间,以及结构使用期间的维修联系起来。 关键词:框架;结构设计;内力计算

The Office of the Xi 'n XX college Abstract This project is The Design of the Office of the Xian XX college, There are six storys in the building.The general is based on the design requirements, original information, application of mechanics RC, basic principles of structural mechanic, soil mechanics and well knowing material quality, obeying rules of design, ensuring the structures of architecture reasonable, and the quality and intensity of all materials are qualified, and the techniques are the same. This architecture design is divided into three parts: architecture design, structural design. Architecture design will adopt available measuresto increase constructions surface intensity and firmness. It will also give people safe felling on psychological. On the other is a process of making construction structures get enough intensity, stiffness and toughness. After structural system is chosen, carrying out the analysis of load and intensity, at mean time taking into account the associatedrelation with building economics,combining the time and cost of materials fabricating and fixing, and the maintenance of structure during operation and use period. Construction organization schedule: according to scientific subdivision works, continuous construction methods, reasonable arranging construction orders, paying attention to safe measures, and ensure to obtain economic benefit. Keywords : frames; structural design; intevnalforce calculation

交通灯毕业论文

目录 前言: (1) 一、设计任务: (2) 二、题目分析与整体构思: (2) 三、硬件电路设计: (3) 四、程序设计: (7) 五、心得体会: (20) 六、设计创新: (20) 七、参考文献: (20)

前言 伴随着社会的发展以及人类生活水平的提高,汽车的数量在D的DEA技术的发展和应用领域的扩大与深入,EDA技术在电子信息,通信,自动,控制及计算机应用等领域的重要性日益突出。随着技术市场与人才市场对DEA的不断的增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。以下就是运用数字电子设计出的交通灯:其中红灯亮,表示该条路禁止通行;黄灯亮表示停车;绿灯亮表示允许通行。

一﹑设计任务

设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。 二、题目分析与整体构思 (1)该交通灯控制器应具备的功能 设东西和南北方向的车流量大致相同,因此红、黄、绿灯的时长也相同, 定为红灯45sec,黄灯5sec,绿灯40sec,同时用数码管指示当前状态(红、 黄、绿)剩余时间。另外,设计一个紧急状态,当紧急状态出现时,两个 方向都禁止通行,指示红灯。紧急状态解除后,重新计数并指示时间。 (2) 实现方案 一从题目中计数值与交通灯的亮灭的关系如图(1)所示

基于单片机的智能交通灯毕业设计(终稿-92分优等)

毕业设计 基于单片机的智能交通灯控制系统设计 指导教师 学院名称工程学院专业名称电气工程及其自动化 论文提交日期论文答辩日期 答辩委员会主席____________ 评阅人____________

摘要 交通灯是现代交通非常重要的一个组成部分,一套好的交通灯系统往往对提升城市交通运输效率,降低事故发生率有至关重要的影响。 本系统由单片机系统、双电源供电系统、交通灯演示系统、中断系统组成。 选用单片机作为此次设计的控制系统主要是考虑到单片机的通用性和廉价性。通用性是指单片机的电路以及编程语言相对比其他控制模块来说更加简单和通用,这个对于往后功能的添加以及系统的维护来说更加简便和易行。廉价性是单片机相对于其他的控制模块来说成本更低,一块成熟的STC89C52的成本不过10元,加上其他的外围电路成本也不超过100元,无论是开发成本和维护成本都能够得到很好的控制。本设计选用STC89C52主要也就是基于上述的两个原因。 本交通灯系统选用了LED灯和双位数码管来模拟显示的交通灯切换状态。双电源供电系统采用的是主电源和后备电源供电的方案。双电源供电方案主要是为了应对市电突然掉电或者出现故障的情况,对于持续的保持整体系统的正常工作具有重要意义,其原理主要是利用二极管的单向导电性所带来的开关功能来实现双电源瞬时的切换。中断系统所实现的功能是在有特定需要的情况下实现对交通灯状态的控制。这些状态包括全红灯和高低峰即时切换。 本系统除了实现最基本的交通灯功能以外,还可实现高低峰分时段控制方案以应对不同时段的不同交通状况,城市的交通早晚时段的流量往往能够达到最大,分时控制对于提高城市交通效率有非常重要的作用。 关键词:单片机 STC89C52 交通灯分时系统双电源

相关主题