搜档网
当前位置:搜档网 › VHDL5套试卷标准答案

VHDL5套试卷标准答案

VHDL5套试卷标准答案
VHDL5套试卷标准答案

填空题:

1、一般将一个完整的VHDL程序称为设计实体

2、VHDL设计实体的基本结构由(库)、(程序包)、(实体)、(结构体)和(配置)组成。

3、(实体)和(结构体)是设计实体的基本组成部分,它们可以构成最基本的VHDL 程序。

4、根据VHDL语法规则,在VHDL程序中使用的文字、数据对象、数据类型都需要(事先声明)。

5、在VHDL中最常用的库是(IEEE)标准库,最常用的数据包是(STD_LOGIC_1164)数据包。

6、VHDL的实体由(实体声明)部分和(结构体)组成。

7、VHDL的实体声明部分指定了设计单元的(输入出端口)或(引脚),它是设计实体对外的一个通信界面,是外界可以看到的部分。

8、VHDL的结构体用来描述实体的(逻辑结构)和(逻辑功能),它由VHDL 语句构成,是外界看不到的部分。

9、在VHDL的端口声明语句中,端口方向包括(输入)、(输出)、(双向)和(缓冲)。

10、VHDL的标识符名必须以(字母开头),后跟若干字母、数字或单个下划线构成,但最后不能为(下划线)

11、VHDL的数据对象包括(常量)、(变量)和(信号),它们是用来存放各种类型数据的容器。

12、为信号赋初值的符号是(:=);程序中,为变量赋值的符号是(:=),为信号赋值的符号是(<=)

13、VHDL的数据类型包括(标量类型)、(复合类型)、(存储类型)和(文件类型)。

14、在VHDL中,标准逻辑位数据有(九)种逻辑值。

15、VHDL的操作符包括(逻辑)、(算术)、(关系)和(并置)四类。

选择题:

1、IEEE于1987年公布了VHDL的(A)语法标准。

A、IEEE STD 1076-1987;

B、RS232;

C、IEEE STD_LOGIC_1164;

D、IEEE STD 1076-1993;

2、IEEE于1987年公布了VHDL的(D)语法标准。

A、IEEE STD 1076-1987;

B、RS232;

C、IEEE STD_LOGIC_1164;

D、IEEE STD 1076-1993;

3、VHDL的设计实体可以被高层次的系统(D ),成为系统的一部分。

A、输入;

B、输出;

C、仿真;

D、调用

4、VHDL常用的库是(A)标准库。

A、IEEE;

B、STD;

C、WORK;

D、PACKAGE

5、VHDL的实体声明部分用来指定设计单元的(D )

A、输入端口;

B、输出端口;

C、引脚;

D、以上均可

6、一个设计实体可以拥有一个或多个(B )

A、IN;

B、OUT;

C、INOUT;

D、BUFFER

8、在VHDL的端口声明语句中,用(B)声明端口为输出方向。

A、IN;

B、OUT;

C、INOUT;

D、BUFFER

9、在VHDL的端口声明语句中,用(C )声明端口为双向方向。

A、IN;

B、OUT;

C、INOUT;

D、BUFFER

10、在VHDL的端口声明语句中,用(D)声明端口为具有读功能的输出方向。

A、IN;

B、OUT;

C、INOUT;

D、BUFFER

11、在VHDL中用(D )来把特定的结构体关联一个确定的实体,为一个大型系统的设计提供管理和进行工程组织。

A、输入;

B、输出;

C、综合;

D、配置

12、在VHDL中,45_234_278属于(A)文字。

A、整数;

B、以数制基数表示的;

C、实数;

D、物理量

13、在VHDL中,88_670.551_278属于(C )文字。

A、整数;

B、以数制基数表示的;

C、实数;

D、物理量

14、在VHDL中,16#FE# 属于(B )文字。

A、整数;

B、以数制基数表示的;

C、实数;

D、物理量

15、在VHDL中,100m 属于(D )文字。

A、整数;

B、以数制基数表示的;

C、实数;

D、物理量

16、在VHDL中,可以用(B )表示数据或地址总线的名称。

A、下标名;

B、段名;

C、总线名;

D、字符串

17、在下列标识符中,(C )是VHDL合法的标识符。

A、4h_adde;

B、h_adde_;

C、h_adder;

D、_h_adde

18、在下列标识符中,(A)是VHDL错误的标识符。

A、4h_adde;

B、h_adde4;

C、h_adder_4;

D、h_adde

19、在VHDL中,(D)不能将信息带出对它定义的当前设计单元。

A、信号;

B、常量;

C、数据;

D、变量

20、在VHDL中,(D )的数据传输是立即发生的,不存在任何延时的行为。

A、信号;

B、常量;

C、数据;

D、变量

21、在VHDL中,(A)的数据传输是不是立即发生的,目标信号的赋值需要一定的延时时间。

A、信号;

B、常量;

C、数据;

D、变量

22、在VHDL中,为目标变量赋值的符号是(C )。

A、=:;

B、= ;

C、:= ;

D、<=

23、在VHDL中,为目标信号赋值的符号是(D )。

A、=:;

B、= ;

C、:= ;

D、<=

24、在VHDL中,定义信号名时,可以用(C)符号为信号赋初值。

A、=:;

B、= ;

C、:= ;

D、<=

25、在VHDL的IEEE标准库中,预定义的标准逻辑位STD_LOGIC的数据类型中的数据是用(B)表示的。

A、小写字母;

B、大写字母;

C、大或小写字母;

D、全部是数字

2009/2010 学年第一学期末考试试题答案(A卷)

一、填空题(20分,每空格1分)

1、一个完整的VHDL语言程序通常包含实体(entity),构造体(architecture),

配置(configuration),包集合(package)和库(library) 5各部分。

2、在一个实体的端口方向说明时,输入使用in表示,那么构造体内部不能再使用的输出是用out表示;双向端口是用 inout 表示;构造体内部可再次使用的输出是用buffer 表示;

3、一个构造体可以使用几个子结构,即相对比较独立的几个模块来构成。VHDL语言可以有以下3种形式的子结构描述语句: BLOCK 语句结构; PROCESS 语句结构和SUBPROGRAMS结构。

4、VHDL的客体,或称数据对象包括了常数、变量variable 和信号signal 。

5、请列出三个VHDL语言的数据类型,如实数、位等。位矢量,字符,布尔量。

6、设D0为'0', D1为'0', D2为'1', D3为'0', D0 & D1 & D2 & D3的运算结果是“0010”,D3 & D2 & D1 & D0的运算结果是“0100”。

7、构造体的描述方式包括三种,分别是寄存器传输(RTL)描述方法或称数据流;构造体的结构描述方式和构造体的行为描述方式。

二、判断对错并给出判断依据(20分,每小题5分,判断对错2分,给出正确答案3分)

1、传统的系统硬件设计方法是采用自上而下(top down)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自下而上(bottom up)的设计方法。(×)

传统的系统硬件设计方法是采用自下而上(bottom up)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自上而下(top down)的设计方法.

2、VHDL可以采用层次化的设计,一个高层的结构体中可以调用低层的实体(√)

3、一个VHAL程序中仅能使用一个进程(process)语句。(×)

可以使用多个进程语句。

4、VHDL语言的预算操作包括了逻辑运算符、关系运算符、乘法运算符等,它们三者的优先级是相同的。(×)

逻辑运算符<关系运算符<乘法运算

三、判断题(10分)

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

以上库和程序包语句有无错误?有,有的话请在原程序相应位置改正。 (2) entity rom is

port(

addr: in std_logic_vector(0 to 3);

ce: in std_logic;

data:out std_logic_vector(7 downto 0) ;

);

end rom;

以上port语句有无错误?有,有的话请在原程序相应位置改正。 (4) architecture behave of rom is

begin

process(ce,addr)

begin

if ce='0' then (6)

case addr is

when "0000"=>

data<="10001001";

when "0001"=>

data<="10001010";

when "0010"=>

data<="10001011";

when "0011"=>

data<="10001100";

when "0100"=>

data<="10001101";

when "0101"=>

data<="10001110";

when "0110"=>

data<="10001111";

when "0111"=>

data<="10010000";

when "1000"=>

data<="10010001";

when "1001"=>

data<="10010010";

when "1010"=>

data<="10010011";

when "1011"=>

data<="10010100";

when "1100"=>

data<="10010101";

when "1101"=>

data<="10010110";

when "1110"=>

data<="10010111";

when others=>

| data<="10011000";

| end case; (8)

else

data<="00000000";

end if;

end process;(10) end behave;

1、请补全以下二选一VHDL 程序(本题10分)

Entity mux is

port(d0,d1,sel:in bit;

q:out BIT ); (2)

end mux;

architecture connect of MUX is (4) signal tmp1, TMP2 ,tmp3:bit; (6) begin cale: block

begin

tmp1<=d0 and sel; tmp2<=d1 and (not sel)

tmp3<= tmp1 and tmp2;

q <= tmp3; (8)

end block cale;

end CONNECT ; (10)

2、编写一个2输入与门的VHDL 程序,请写出库、程序包、实体、构造体相关语句,将端口定义为标准逻辑型数据结构(本题10分)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; (2) ENTITY nand2 IS

PORT (a ,b:IN STD_LOGIC; (4) y:OUT STD_LOGIC); (6) END nand2;

ARCHITECTURE nand2_1 OF nand2 IS (8) BEGIN

y <= a NAND b; --与y <=NOT( a AND b);等价 (10) END nand2_1;

3、根据下表填写完成一个3-8线译码器的VHDL 程序(16分)。

&

a b

y

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY decoder_3_to_8 IS

PORT (a,b,c,g1,g2a,g2b:IN STD_LOGIC;

y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); (2)END decoder_3_to_8;

ARCHITECTURE rtl OF decoder_3_to_8 IS

SIGNAL indata:STD_LOGIC_VECTOR (2 DOWNTO 0); (4)BEGIN

indata <= c & b & a; (6)PROCESS (indata,g1,g2a,g2b)

BEGIN

IF (g1 = '1' AND g2a = '0' AND g2b = '0' ) THEN (8)CASE indata IS

WHEN "000"=> y <= "11111110";

WHEN "001" => y <= "11111101";

WHEN "010" => y <= "11111011"; (10)

WHEN "011" => y <= "11110111";

WHEN "100" => y <= "11101111";

WHEN "101" => y <= "11011111";

WHEN "110" => y <= "10111111"; (12)

WHEN "111" => y <= "01111111";

WHEN OTHERS=> y <= "XXXXXXXX";

END CASE;

ELSE

y <= "11111111"; (14)

END IF;

END PROCESS; (16)

END rtl;

4、三态门电原理图如右图所示,真值表如左图所示,请完成其VHDL程序构造体部分。(本题14分)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY tri_gate IS

PORT(din,en:IN STD_LOGIC;

dout : OUT STD_LOGIC);

END tri_gate ;

ARCHITECTURE zas OF tri_gate IS

BEGIN

PROCESS (din,en)

BEGIN

IF (en=…1') THEN dout <= din;

ELSE dout <= …Z?;

END IF;

END PROCESS ;

END zas ;

2008/2009 学年第一学期末考试试题答案(A卷)

一、填空题(20分,每空格1分)

1、试举出两种可编程逻辑器件 CPLD 、 FPGA 。

2、VHDL程序的基本结构包括库、程序包、实体和结构体。

3、more_ _11标识符合法吗?不合法。8bit标识符合法吗?不合法。

variable标识符合法吗?不合法。

4、信号的代入通常用 <= ,变量用 := 。

5、表示‘0’‘1’;两值逻辑的数据类型是 bit(位),表示‘0’‘1’‘Z’等九值逻辑的数据类型是 std_logic(标准逻辑),表示空操作的数据类型是 NULL 。

6、定义一个信号a,数据类型为4位标准逻辑向量signal a : std_logic_vector(3 downto 0) 定义一个变量b,数据类型为2位位向量 variable

b : bit_vector(1 downto 0) 。

7、<=是小于等于关系运算符,又是赋值运算操作符。

8、设D0为'1', D1为'0', D2为'1', D3为'0', D3 & D2 & D1 & D0的运算结果是“0101”,D1 & D2 & D3 & D4的运算结果是“1010”。

二、判断对错并给出判断依据(20分,每小题5分,判断对错2分,给出正确答案3分)

1、进程语句中,不管在何时,process语句后面必须列出敏感信号(×)

包含wait语句的进程语句可不列出敏感信号。

2、VHDL语言与计算机C语言的没有差别。(×)

运行的基础

计算机语言是在CPU+RAM构建的平台上运行

VHDL设计的结果是由具体的逻辑、触发器组成的数字电路

执行方式

计算机语言基本上以串行的方式执行

VHDL在总体上是以并行方式工作

验证方式

计算机语言主要关注于变量值的变化

VHDL要实现严格的时序逻辑关系

3、在结构体中定义一个全局变量(VARIABLES),可以在所有进程中使用。(×)

“变量(VARIABLES)”改为“信号”。

4、Moore状态机输出只是状态机当前状态的函数,Mealy状态机输出为有限状态机当前值和输入值的函数(√)三、判断题(10分)

library ieee;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

以上库和程序包语句有无错误?有,有的话请在原程序相应位置改正。 (2) entity rom is

port( addr: in std_logic_vector(0 to 3);

ce: in std_logic;

data:out std_logic_vector(7 downto 0) ;

)

end rom;

以上port语句有无错误?有,有的话请在原程序相应位置改正。 (4) architecture behave of rom is

begin

process(ce,addr)

(6)

begin

if ce='0' then

case addr is

when "0000"=>

data<="10001001";

when "0001"=>

data<="10001010";

when "0010"=>

data<="10001011";

when "0011"=>

data<="10001100";

when "0100"=>

data<="10001101";

when "0101"=>

data<="10001110";

when "0110"=>

data<="10001111";

when "0111"=>

data<="10010000";

when "1000"=>

data<="10010001";

when "1001"=>

data<="10010010";

when "1010"=>

use ieee.std_logic_1164.all;

data<="10010011";

when "1011"=>

data<="10010100";

when "1100"=>

data<="10010101";

when "1101"=>

data<="10010110";

when "1110"=>

data<="10010111";

when others=>

data<="10011000"; end case;

else

data:="00000000"; --data <= “00000000”; (8)

end if; (10)

end process;

end behave;

以上architecture中有哪些错误?请在原程序相应位置改正。

四、编程题(共50分)

1、根据一下四选一程序的结构体部分,完成实体程序部分(本题8分)

entity MUX4 is

port( (2)

s: in std_logic_vector(1 downto 0); (4)

d: in std_logic_vector(3 downto 0); (6)

y: out std_logic (8)

);

end MUX4;

architecture behave of MUX4 is

begin

process(s)

begin

if (s="00") then

y<=d(0);

elsif (s="01") then

y<=d(1);

elsif (s="10") then

y<=d(2);

elsif (s="11") then

y<=d(3);

else

null;

end if;

end process;

end behave;

2、编写一个数值比较器VHDL程序的进程(不必写整个结构框架),要求使能信号g低电平时比较器开始工作,输入信号p = q,输出equ为‘0’,否则为‘1’。(本题10分)process(p,q) (2)

begin

if g='0' then (4)

if p = q then

equ <= '0'; (6)

else

equ <= '1'; (8)

end if;

else

equ <= '1'; (10)

end if;

end process;

3、填写完成一个8-3线编码器的VHDL程序(16分)。

Library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity eight_tri is

port( b: in std_logic_vector(7 downto 0); (2)en: in std_logic;

y: out std_logic_vector(2 downto 0) ); (4)end eight_tri;

architecture a of eight_tri is (6)signal sel: std_logic_vector(8 downto 0);

begin

sel<=en & b; (8)

y<= “000” when (sel=”100000001”)else

“001” when (sel=”100000010”)else(10)

“010” when (sel=”100000100”)else

“011” when (sel=”100001000”)else

“100” when (sel=”100010000”)else(12)

“101” when (sel=”100100000”)else

“110” when (sel=”101000000”)else(14)

“111” when (sel=”110000000”)else(16)

“zzz”;

end a;

4、图中给出了4位逐位进位全加器,请完成其VHDL程序。(本题16分)

library IEEE;

use IEEE.std_logic_1164.all;

use IEEE.std_logic_arith.all;

use IEEE.std_logic_unsigned.all;

entity full_add is

port (

a,b: in std_logic_vector (3 downto 0); (2)

carr: inout std_logic_vector (4 downto 0);

sum: out std_logic_vector (3 downto 0)

);

end full_add;

architecture full_add_arch of full_add is

component adder (4)

port (

a,b,c: in std_logic;

carr: inout std_logic;

sum: out std_logic ); (6)

end component;

begin

carr(0)<='0';

u0:adder port map(a(0),b(0),carr(0),carr(1),sum(0));

u1:adder port map(a(1),b(1),carr(1),carr(2),sum(1)); (8)(10)u2:adder port map(a(2),b(2),carr(2),carr(3),sum(2)); (12)

u3:adder port map(a(3),b(3),carr(3),carr(4),sum(3)); (14)(16)end full_add_arch;

2008/2009 学年第一学期末考试试题(B卷)

一、填空题(30分,每空格1分)

1、同A

2、VHDL程序的基本结构至少应包括实体、结构体两部分和对

库的引用声明。

3、1_Digital标识符合法吗?否, \12 @ +\ 呢?合法。

4、在VHDL的常用对象中,信号、变量可以被多次赋予不同的值,

常量只能在定义时赋值。

5、实体的端口模式用来说明数据、信号通过该端口的传输方向,端口模式有 in 、 Out 、 inout 、 buffer 。

6、VHDL语言中std_logic类型取值‘Z’表示高阻,取值‘X’表示不确定。

7、整型对象的范围约束通常用 range 关键词,位矢量用 downto/to 关键词。

8、位类型的初始化采用(字符/字符串)字符、位矢量用字符串。

9、进程必须位于结构体内部,变量必须定义于进程/包/子程序内部。

10、并置运算符 & 的功能是把多个位或位向量合并为一个位向量。

11、进程执行的机制是敏感信号发生跳变。

12、判断CLK信号上升沿到达的语句是 if clk’event and clk = ‘1’ then .

13、 IF 语句各条件间具有不同的优先级。

14、任何时序电路都以时钟为驱动信号,时序电路只是在时钟信号的边沿到来时,

其状态才发生改变。

15、 Moore 状态机输出只依赖于器件的当前状态,与输入信号无关。

二、判断对错并改正(12分,每小题3分)

1、CONSTANT T2:std_logic <= ?0?;(错)改正:把<= 换为:= 。

2、若某变量被定义为数值型变量,未赋初始值时默认值为‘0’。

(错)改正:把‘0’的单引号去掉。

3、在结构体中定义一个全局变量(V ARIABLES),可以在所有进程中使用。

(错)改正:“变量(V ARIABLES)”改为“信号”。

4、语句 type wr is (wr0,wr1,wr2,wr3,wr4,wr5);定义了一个状态机变量wr,

可以直接对wr赋值。

(错)改正:语句 type wr is (wr0,wr1,wr2,wr3,wr4,wr5); 定义了一个状态机类型wr,需要定义一个该类型的对象,才可以对该对象赋值。

三、简答(8分,每小题4分)

1、简述如何利用计数器精确控制时序。

只要知道晶振频率f,即可知道周期T=1/f;

使用一个计数器,可以通过计数值n,精确知道当计数值为n时消耗的时间t=nT;

上例中以n为控制条件,可以控制其它信号在某时刻变高,某时刻变低,从而产生精确时序;例如:

?PROCESS (clr,clk)

?BEGIN

? IF(clr=1) THEN

?Count_B<=“00000000”;

?q <= ‘0’;

? ELSIF (clk'EVENT AND clk = ‘1’ ) THEN

?Count_B<=count_B + 1;

?IF (Count_B = “00000000”) THEN

?q <= ‘1’;

?ELSIF (Count_B = “00000001”) THEN

?q <= ‘0’;

?ELSIF (Count_B = “00000011”) THEN

?q <= ‘1’;

?ELSIF (Count_B = “00000100”) THEN

?q <= ‘0’;

?END IF;

? END IF;

?END PROCESS;

2、简述moore状态机和mealy状态机的区别。

从输出的时序上看,Mealy机的输出是当前状态和所有输入信号的函数,它的输出是在输入变化后立即发生的。Moore机的输出则仅为当前状态的函数,在输入发生变化时还必须等待时钟的到来,时钟使状态发生变化时才导致输出的变化。

Moore型状态机:次态=f(现状,输入),输出=f(现状);

Mealy型状态机:次态=f(现状,输入),输出=f(现状,输入);

四、编程(共50分)

1

library IEEE;

use IEEE.std_logic_1164.all;

entity VposDff is

port (CLK, CLR, D: in STD_LOGIC; ----------2分

Q, QN: out STD_LOGIC ); ----------4分

end VposDff;

architecture VposDff_arch of VposDff is

begin

process ( CLK, CLR ) ----------6分

begin

if CLR='1' then Q <= '0'; QN <='1';

elsif CLK'event and CLK='1' then

Q <= D; QN <= not D; ----------8分 end if;

end process; ----------10分

end VposDff_arch;

2、完成以下4位全加器代码(本题10分)

library IEEE;

use IEEE.std_logic_1164.all;

entity full_add is

port ( a,b: in std_logic_vector (3 downto 0);

cin: in std_logic;

cout: out std_logic;

sum: out std_logic_vector (3 downto 0) );

end full_add;

architecture full_add_arch of full_add is

component adder

port ( a,b,c: in std_logic;

carr: out std_logic;

sum: out std_logic );

end component;

signal c1,c2,c3: std_logic; 2分

begin

u0:adder port map(a(0),b(0),cin,c1,sum(0)); 4分

u1:adder port map(a(1),b(1),c1,c2,sum(1)); 5分

u2:adder port map(a(2),b(2),c2,c3,sum(2)); 6分

u3:adder port map(a(3),b(3),c3,cout,sum(3)); 10分

end full_add_arch;

3、补充完整如下代码,使之完成4状态不断循环。(本题10分)

ARCHITECTURE arc OF ss IS

type states is ( st0,st1,st2,st3 ); 2分

signal outc: states; 4分

BEGIN

PROCESS(clk)

BEGIN

IF reset='1' then

outc <=st0 ; 6分

elsif clk'event and clk='1' then

CASE outc IS

WHEN st0 => outc <= st1; 7分

WHEN st1 => outc <= st2; 8分

WHEN st2 => outc <= st3; 9分

WHEN st3 => outc <= st0; 10分

WHEN OTHERS => outc <=st0;

END CASE;

end if;

END PROCESS;

END arc;

4、设计异或门逻辑:(本题20分)

如下异或门,填写右边的真值表。(此项5分)

其表达式可以表示为:(此项5

这一关系图示如下:

试编写完整的VHDL 代码实现以上逻辑。可以采用任何描述法。(此项10分)

library ieee;

use ieee.std_logic_1164.all; 1分 entity yihuo1 is port( a,b :in std_logic;

y

:out std_logic );

end yihuo1; 4分 architecture yihuo1_behavior of yihuo1 is

begin 7分 process(a,b) y<=a xor b; begin (第2种写法) if a=b then

y<='0';

else

y<='1';

end if;

end process;

end yihuo1_behavior; 10分2007/2008 学年第一学期末考试试题答案(A卷)

一、填空题(20分,每空格1分)

1、VHDL是否区分大小写?不区分。

2、digital_ _8标识符合法吗?不合法。12_bit标识符合法吗?不合法。signal标识符合法吗?不合法。

3、结构体有三种描述方式,分别是数据流、行为、和结构化。

4、请分别列举一个常用的库和程序包library iee、 use ieee.std_logic_1164.all 。

5、一个信号处于高阻(三态)时的值在VHDL中描述为‘Z’。

6、将一个信号width定义为一个4位标准逻辑向量为

signal width : std_logic_vector(3 downto 0) 。

7、/=是不相等操作符,功能是在条件判断是判断操作符两端不相等。

8、设D0为'0', D1为'1', D2为'1', D3为'0', D3 & D2 & D1 & D0的运算结果是

(D3 or D2)and(D1 and not D0)的运算结果是:‘1’。

“0110”,

9、赋值语句是(并行/串行)并行执行的,if语句是(并行/串行)串行执行的。

10、请列举三种可编程逻辑器件: EEPROM 、 GAL 、 FPGA 。

二、简答(20分,每小题5分)

1、简述VHDL程序的基本结构。

库(1)

程序包(2)

实体(3)

结构体(5)若答出配置也可加1分

2、简述信号与变量的区别。

信号延时赋值,变量立即赋值(2)

信号的代入使用<=,变量的代入使用:=;(4)

信号在实际的硬件当中有对应的连线,变量没有(5)

3、简述可编程逻辑器件的优点。

集成度高,可以替代多至几千块通用IC芯片

极大减小电路的面积,降低功耗,提高可靠性(1)

具有完善先进的开发工具

提供语言、图形等设计方法,十分灵活

通过仿真工具来验证设计的正确性(2)

可以反复地擦除、编程,方便设计的修改和升级(3)

灵活地定义管脚功能,减轻设计工作量,缩短系统开发时间(4)

保密性好(5)

4、试比较moore状态机与mealy状态机的异同。

Moore输出只是状态机当前状态的函数(3)

Mealy输出为有限状态机当前值和输入值的函数(5)

三、判断题(10分)

library ieee;

use ieee.std_logic_arith.all;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

以上库和程序包语句有无错误?有,有的话请在原程序相应位置改正。(2)entity rom is

port(

addr: in std_logic_vector(0 to 3);

ce: in std_logic;

data:out std_logic_vector(7 downto 0);

)

end rom;

以上port语句有无错误?有,有的话请在原程序相应位置改正。(4)architecture behave of rom is

begin

process(ce,addr)(6)

begin

if ce='0' then

[经济学]统计学试卷

成都理工大学2006-2007学年第二学期 《统计学》期末考试试题(第二套) 题号一二三四五总分 得分 一、单项选择题(在备选答案中只有一个是正确的,将其选出并把它的英文标号写在题后括号内。不答题或者答错题既不得分,也不倒扣分。每题1分,共10分) 1、在研究某城市工业企业生产时,某个工业企业生产工人人数是() A、数量指标 B、数量标志 C、变量 D、标志总量 2、对全国货币发行量中占较大比重的几个大地区进行货币发行量调查,这种调查方式属于() A、普查 B、典型调查 C、抽样调查 D、重点调查 3、2003年某机械车间工人的月平均工资为1200元,工具车间工人的月平均工资为1400元,2004年各车间的工资水平不变,但机械车间工人增加20%,工具车间工人增加10%,则2004年两车间工人总平均工资比2003年() A、提高 B、降低 C、不变 D、不能做结论 4、某企业2003年完成利润100万元,2004年计划比2003年增长5%,实际完成110万元,2004年超额完成计划() A、104.76% B、4.76% C、110% D、10% 5、某单位四年管理费用的环比增长速度为3%,5%,8%,13%,则平均发展速度为() A、 B、 C、-1 D、-1 6、若同样多的人民币多购买商品3%,则物价: A、下降3% B、上升3% C、下降2.91% D、不变

7、是非标志的方差,其最大值是()。 A、1 B、1/2 C、1/3 D、1/4 8、在回归分析中,要求两变量 A、都是随机变量 B、自变量是确定性变量,因变量是随机变量 C、都是确定性变量 D、因变量是确定性变量,自变量是随机变量 9、无偏性是指 A、抽样指标的平均数等于被估计的总体指标 B、当样本容量n充分大时,样本指标充分靠近总体指标 C、随着n的无限增大,样本指标与未知的总体指标之间的离差任意小的可能性趋于实际必然性 D、作为估计量的方差比其他估计量的方差小 10、在一定的抽样平均误差条件下 A、扩大极限误差范围,可以提高推断的可靠程度 B、扩大极限误差范围,会降低推断的可靠程度 C、缩小极限误差范围,可以提高推断的可靠程度 D、缩小极限误差范围,不改变推断的可靠程度 二、多项选择题(在备选答案中有二个以上是正确的,将它们全选出并把它们的标号写在题后括号内,每题所有答案选择正确的得分;不答、错答、漏答均不得分。每题2分,共10分) 1、统计指标和统计标志是不同的,下面属于统计指标的是()。 A、某地区人口的性别比例 B、某人的性别 C、一台完好的设备 D、设备完好率 E 平均身高 2、下列指标中属于时点指标的有() A、企业数 B、在册职工人数 C、某种商品的销售量 D、某地区2004年人口数 E、某种产品的产量 3、影响抽样平均误差的因素有() A、总体标志变异程度 B、样本容量 C、抽样组织形式 D、抽样方法(重复和不重复) E、样本指标值的大小

土力学试卷(B)及答案

技术学院考试试卷(B ) 一、填空题(每空1分,共20分) 1、土的结构类型为 、 和 。 2、对无粘性土的工程性质影响最大的是土的 ,工程上用指标 来衡量。 3、粘性土的塑性指标I p ,液性指标I L 。 4、附加应力自 起算,自重应力自 起算。 5、土的抗剪强度指标的常用测定方法有 、 、 和 。 6、荷载试验曲线上,从线性关系开始变成非线性关系时的界限荷载称为 。 7、随荷载增加,地基变形的三个阶段是 、 和 。 8、钢筋混凝土扩展基础指 和 。 二、选择题(每题2分,共30分) 1.天然状态砂土的密实度一般用( )来测定。 A 荷载试验 B 轻便触探试验 C 现场剪切板剪切试验 D 标准贯入试验 2.粘土软硬状态的划分依据是( )。 A 含水量 B 液限 C 液性指数 D 塑性指数 3.利用角点法及角点下的附加应力系数表仅可求得( )。 A 基础投影范围内地基中的附加应力 B 基础投影范围外地基中的附加应力 C 基础中任意点的附加应力 D 基础中心点下地基中的附加应力 4.土中控制体积和强度变化的应力是( )。 A 孔隙水压力 B 有效应力 C 自重应力 5.下列说法中,错误的是( )。 A 土在压力作用下体积缩小 B 土的压缩主要是土中孔隙体积的减小 C 土的压缩与土的透水性有关 D 饱和土的压缩主要是土中气体被挤出 6.在土的压缩性指标中,( )。 A 压缩系数α与压缩模量Es 成正比 B 压缩系数α与压缩模量Es 成反比 C 压缩系数越大,土的压缩性越低 D 压缩模量越小,土的压缩性越低 7.在基底平均压力和其他条件均相同的条件下,条形基础的沉降比矩形基础的沉降( )。 A 大 B 小 C 相同 D 无法比较 8.某房屋地基为厚粘土层,施工速度快,则在工程上地基土抗剪强度指标宜用 下列哪种试验确定?( ) A 固结快剪 B 快剪 C 慢剪 9.下列说法中,错误的是( ), A 土的自重应力一般不会引起地基变形 B 地基中附加应力会引起地基变形 C 饱和土中的总应力等于有效应力和附加应力之和 D 孔隙水压力会使土体产生体积变形 10、土的γ、γsat 、γ’和γd 数值大小依次为( ), A γd <γ’<γ<γsat B γ’<γd <γ<γsat C γd <γ<γ’<γsat D γ’<γ<γd <γsat 11、当地下水位从地表处下降至基底平面处,对有效应力有何影响?( ) A 有效应力不变 B 有效应力增加 C 有效应力减小 12、当地基为高压缩土时,分层综合法确定地基沉降计算深度的标准是( )。 A σZ ≤0.3σc B σZ ≤0.2σc C σZ ≤0.1σc D σZ ≤0.05σc 13、土越密实,其内摩擦角( )。 A 越小 B 越大 C 不变 14.在设计仅起挡土作用的中立式挡土墙时,土压力应按( )计算。 A 主动土压力 B 被动土压力 C 静止土压力 D 静止水压力 A 大 B 小 C 大或小 D 两者相同 15.对于桩端阻力极限值,下列说法正确的是( )。 A 随深度线性增加 B 随深度线性减小 C 随深度线性增加,达到一临界值后保持不变 三、 判断题(每题1分, 共10分:对√;错×) 1、( ) 土的结构最主要的特征是成层性。 2、( )在填方工程施工中,常用土的干密度来评价填土的压实程度。 3、( )粉土的塑性指数I P 小于或等于10 、粒径大于0.075的颗粒含量不超过全重55%的土。 4.( )由于土中自重应力属于有效应力,因而与地下水位的升降无关。 5.( )达西定律中的渗透速度不是孔隙水的实际流速。 6. ( )柱下独立基础埋深的大小对基底附加应力影响不大 7. ( )土的压缩性指标只能通过室内压缩试验求得。 8. ( )朗肯土压力理论的基本假设是:墙背直立、粗糙且墙后填土面水平。 9. ( ) 地基承载力特征值在数值上与地基极限承载力相差不大。 10. ( )端承摩擦是以端承力为主,摩擦力为辅。 四、简答题(每空5分,共20分) 1、何为土的颗粒级配,粒径级配曲线的横纵坐标各表示什么? 2、什么是主动土压力、被动土压力、和静止土压力?三者大小关系为? 3、何谓地基承载力?地基土的破坏模式有哪几种?地基基础设计的条件? 4、什么是高承台桩?什么是低承台桩?其适用的范围是什么? 五、计算题(1题8分,2题12分共20分)

江西财经大学统计学试卷有答案

1 财经大学 11-12第二学期期末考试试卷 试卷代码:06003B 授课课时:48 课程名称:统计学 适用对象:挂牌 试卷命题人 试卷审核人 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在答题纸相应位置处。答案错选或未选者,该题不得分。每小题1分,共10分) 1.已知两个同类企业职工工资的标准差分别是50元和60元,则两个企业 职工平均工资的代表性( )。 A.乙大于甲 B.甲大于乙 C.甲乙相等 D .无法判断 2. 根据算术平均数的性质,下列表达式正确的是 ( )。 A .0)(=∑-f x x B .0=-∑f x x C .0)(2=-∑f x x D. min )(=-∑f x x 3. 某地区人均国生产总值2007年比2002年增长45%,每增降1%的绝对值为135元,则( )。 A .五年间人均国生产总值共增6075元 B .五年间人均国生产总值共增1350元 C .五年间人均国生产总值每年递增9% D .五年间人均国生产总值每年递增10% 4. 用各组的组中值代表其实际数据计算算术平均数时,通常假定( )。 A .各组数据在组是均匀分布的 B .各组次数相等 C .各组数据之间没有差异 D. 各组数据次数不等 5.在分组时,凡遇到某一变量值刚好等于相邻两组上下限数值时,一般是( )。 A.将此值归入上限所在组 B.将此值归入下限所在组

C.此值归入两组均可 D.该值不需归入任何一组 6. 一组数25,27,29,30,32,34的中位数值是 ( )。 A .29 B .29.5 C .30 D.不存在 7. 某次人口普查的标准时点为11月1日零点,今有甲,乙、丙、丁四人情况是:甲10月31日夜10点出生,乙10月31日夜11点去世,丙10月31日夜12点半出生,丁11月1日1点去世。调查员登记时,下列说确的是 ( ) A .甲登记、乙不登记 B .甲不登记、丁登记 C .甲登记、丙登记 D .乙登记、丁不登记 8.有效性是指( )。 A .抽样指标的平均数等于被估计的总体指标 B .当样本容量n 充分大时,样本指标充分靠近总体指标 C .随着n 的无限增大,样本指标与未知的总体指标之间的离差任意小的可能性趋于实际必然性 D .作为估计量的方差比其他估计量的方差小 9. 根据月度资料计算的季节指数之和为( )。 A .400% B .100% C .1200% D .800% 10.如果11p q 、分别代表报告期的商品价格、销售量;00p q 、分别代表基期的商品价格、销售量,运用公式1101 p p q k p q = ∑∑编制的指数称为 ( )。 A .拉氏价格指数 B .拉氏销售量指数 C .帕氏价格指数 D .帕氏销售量指数 二、判断题(请在答题纸上写明题号后,在正确的命题后打√,在错误的命题后打×。判断错误者,该题不得分。每小题1分,共10分。) 1.权数对算术平均数的影响作用只表现为各组出现次数的多少,与各组次数占总次数的比重无关。( ) 2.凡是反映现象总规模、总水平和工作总量的统计指标称为质量指标。( ) 3.简单分组涉及总体的某一个标志,复合分组则涉及总体两个以上的标志。因此,将两个简单分组排列起来,就是复合分组。( ) 4.若时间数列各期的环比发展速度相等,则各期逐期增长量一定相等( ) 5. 调查单位与报告单位总是相同的。( )

(完整版)大学土力学试题及答案

第1章 土的物理性质与工程分类 一.填空题 1. 颗粒级配曲线越平缓,不均匀系数越大,颗粒级配越好。为获得较大密实度,应选择级配良好的土料作为填方或砂垫层的土料。 2. 粘粒含量越多,颗粒粒径越小,比表面积越大,亲水性越强,可吸附弱结合水的含量越多,粘土的塑性指标越大 3. 塑性指标p L p w w I -=,它表明粘性土处于可塑状态时含水量的变化范围,它综合反映了粘性、可塑性等因素。因此《规范》规定:1710≤

p I 为粘土。 4. 对无粘性土,工程性质影响最大的是土的密实度,工程上用指标e 、r D 来衡量。 5. 在粘性土的物理指标中,对粘性土的性质影响较大的指标是塑性指数p I 。 6. 决定无粘性土工程性质的好坏是无粘性土的相对密度,它是用指标r D 来衡量。 7. 粘性土的液性指标p L p L w w w w I --= ,它的正负、大小表征了粘性土的软硬状态,《规范》 按L I 将粘性土的状态划分为坚硬、硬塑、可塑、软塑、流塑。 8. 岩石按风化程度划分为微风化、中等风化、强风化。 9. 岩石按坚固程度划分为硬质岩石,包括花岗岩、石灰岩等;软质岩石,包括页岩、泥岩等。 10.某砂层天然饱和重度20=sat γkN/m 3,土粒比重68.2=s G ,并测得该砂土的最大干重度1.17max =d γkN/m 3,最小干重度4.15min =d γkN/m 3,则天然孔隙比e 为0.68,最大孔隙比=max e 0.74,最小孔隙比=min e 0.57。 11.砂粒粒径范围是0.075~2mm ,砂土是指大于2mm 粒径累计含量不超过全重50%,而大于0.075mm 粒径累计含量超过全重50%。 12.亲水性最强的粘土矿物是蒙脱石,这是因为它的晶体单元由两个硅片中间夹一个铝片组成,晶胞间露出的是多余的负电荷,因而晶胞单元间联接很弱,水分子容易进入晶胞之间,而发生膨胀。 二 问答题 1. 概述土的三相比例指标与土的工程性质的关系? 答:三相组成的性质,特别是固体颗粒的性质,直接影响土的工程特性。但是,同样一种土,密实时强度高,松散时强度低。对于细粒土,水含量少则硬,水含量多时则软。这说明土的性质不仅决定于三相组成的性质,而且三相之间量的比例关系也是一个很重要的影响因素。

大学统计学试卷及答案3套

2011年12月考试统计学第一次作业 一、单项选择题(本大题共45分,共 15 小题,每小题 3 分) 1. 对单项数列,其满足左偏斜分布时有( )。(X为均值) A. B. C. D. 2. 报告期总量加权的平均指数在计算形式上主要采取() A. 综合指数形式 B. 算术平均形式 C. 调和平均形式 D. 固定构成指数形式 3. 红星企业的2010年的产值比去年上升了8%,则8%为() A. 平均数指标 B. 总量指标 C. 相对数指标 D. 离散指标 4. 对某种连续生产的产品进行质量检验,要求每隔一小时抽出10钟的产品进 行检验,这种抽查方式是() A. 简单随机抽样 B. 类型抽样 C. 整群抽样 D. 等距抽样 5. 若销售量增加,销售额不变,则物价指数() A. 降低 B. 升高 C. 不变 D. 无法确定 6. 某灯泡厂为了掌握该厂的产品质量,拟进行一次全厂的质量大检查,这种检查应当选择() A. 统计报表 B. 重点调查 C. 全面调查 D. 抽样调查 7. 根据各年的月份资料计算的季节指数其平均数为() A. 100% B. 1200% C. 120% D. 400% 8. 直接反映总体规模大小的指标是() A. 平均指标 B. 相对指标 C. 总量 指标 D. 变异指标 9. 说明回归直线拟合程度的统计量主要是() A. 相关系数 B. 回归系数 C. 判定系数 D. 估计标准误差 10. 如果调查对象之中包含的单位很多,而且缺少原始记录可供参考,这种情 况应用() A. 抽样调查 B. 重点调查 C. 普查 D. 统计报表 11. 某连续性变量的分组中,其末组为开口组,下限为200,又知其邻组的组 中值为170,则末组的组中值为()。 A. 260 B. 215 C. 230 D. 185 12. 当已知时,总体均值μ的1- 置信水平下的置信区间为()。 A. B. C. D. 13. 计算平均指标时,最常用的方法和最基本的形式是()。 A. 中位数 B. 众数 C. 调和平均数 D. 算术平均数 14. 若已知是的3倍,

土力学及地基基础试卷及答案

土力学及地基基础标准预测试卷(一) (考试时间150分钟) 第一部分选择题 一、单项选择题(本大题共10小题,每小题2分,共20分)在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.用粒径级配曲线法表示土样的颗粒组成情况时,若曲线越陡,则表示土的 ( ) A.颗粒级配越好 B.颗粒级配越差 C.颗粒大小越不均匀 D.不均匀系数越大 2.判别粘性土软硬状态的指标是 ( ) A.塑性指数 B.液性指数 C.压缩系数 D.压缩指数 3.产生流砂的充分而必要的条件是动水力 ( ) A.方向向下 B.等于或大于土的有效重度 C.方向向上 D.方向向上且等于或大于土的有效重度 4.在均质土层中,土的竖向自重应力沿深度的分布规律是 ( ) A.均匀的 B.曲线的 C.折线的 D.直线的 5.在荷载作用下,土体抗剪强度变化的原因是 ( ) A.附加应力的变化 B.总应力的变化 C.有效应力的变化 D.自重应力的变化 6.采用条形荷载导出的地基界限荷载P1/4用于矩形底面基础设计时,其结果 ( )

A.偏于安全 B.偏于危险 C.安全度不变 D.安全与否无法确定 7.无粘性土坡在稳定状态下(不含临界稳定)坡角β与土的内摩擦角φ之间的关系是( ) A.β<φB.β=φ C.β>φ D.β≤φ 8.下列不属于工程地质勘察报告常用图表的是 ( ) A.钻孔柱状图 B.工程地质剖面图 C.地下水等水位线图 D.土工试验成果总表 9.对于轴心受压或荷载偏心距e较小的基础,可以根据土的抗剪强度指标标准值φk、Ck 按公式确定地基承载力的特征值。偏心距的大小规定为(注:Z 为偏心方向的基础边长) ( ) A.e≤ι/30 B.e≤ι/10 C.e≤b/4 D.e≤b/2 10.对于含水量较高的粘性土,堆载预压法处理地基的主要作用之一是 ( ) A.减小液化的可能性 B.减小冻胀 C.提高地基承载力 D.消除湿陷性 第二部分非选择题 二、填空题(本大题共10小题,每小题1分,共10分)请在每小题的空格中填上正确答案。错填、不填均无分。 11.建筑物在地面以下并将上部荷载传递至地基的结构称为____。 12.土的颗粒级配曲线愈陡,其不均匀系数C u值愈____。 13.人工填土包括素填土、冲填土、压实填土和____。

大学土力学试题及答案

第1章土的物理性质与工程分类 一.填空题 1.颗粒级配曲线越平缓,不均匀系数越大,颗粒级配越好。为获得较大密实度,应选择级配良好的土料作 为填方或砂垫层的土料。 2.粘粒含量越多,颗粒粒径越小,比表面积越大,亲水性越强,可吸附弱结合水的含量越多,粘土的塑性 指标越大 3.塑性指标I P r W L -W P ,它表明粘性土处于可塑状态时含水量的变化范围,它综合反 映了粘性、可塑性等因素。因此《规范》规定:10 ::: I P _17为粉质粘土,I P 17为粘土。 4.对无粘性土,工程性质影响最大的是土的密实度,工程上用指标e、D r来衡量。 5.在粘性土的物理指标中,对粘性土的性质影响较大的指标是塑性指数I P 6.决定无粘性土工程性质的好坏是无粘性土的相对密度,它是用指标D r来衡量。 W-W P 7.粘性土的液性指标I L ,它的正负、大小表征了粘性土的软硬状态,《规范》 W L-W p 按I L将粘性土的状态划分为坚硬、硬塑、可塑、软塑、流塑。 &岩石按风化程度划分为微风化、中等风化、强风化。 9.岩石按坚固程度划分为硬质岩石,包括花岗岩、石灰岩等;软质岩石,包括页岩、泥岩 10.某砂层天然饱和重度sat =20kN∕m3,土粒比重G^ 2.68 ,并测得该砂土的最大干重 度dmax =17.1kN∕m3,最小干重度dmin =15.4 kN/m3,则天然孔隙比e为0.68,最大孔隙比e f maχ =0.74,最小孔隙比e min =0.57。 11.砂粒粒径范围是0.075~2mm,砂土是指大于2mm粒径累计含量不超过全重50%,而大 于0.075mm粒径累计含量超过全重50%。 12.亲水性最强的粘土矿物是蒙脱石,这是因为它的晶体单元由两个硅片中间夹一个铝片组成,晶胞间露出的是多余的负电荷,因而晶胞单元间联接很弱,水分子容易进入晶胞之间,而发生膨胀。 二问答题 1.概述土的三相比例指标与土的工程性质的关系? 答:三相组成的性质,特别是固体颗粒的性质,直接影响土的工程特性。但是,同样一种土, 密实时强度高,松散时强度低。对于细粒土,水含量少则硬,水含量多时则软。这说明土的性质不仅决定于

大学统计学试卷及答案3套

大学统计学试卷及答案3套 2011 年12 月考试统计学第一次作业一、单项选择题(本大题共45 分,共15 小题,每小题3 分)1. 对单项数列,其满足左偏斜分布时有。(X 为均值)A. B. C. D.2. 报告期总量加权的平均指数在计算形式上主要采取()A. 综合指数形式B. 算术平均形式C. 调和平均形式 D. 固定构成指数形式3. 红星企业的2010 年的产值比去年上升了8,则8为()A. 平均数指标B. 总量指标 C. 相对数指标 D. 离散指标4. 对某种连续生产的产品进行质量检验,要求每隔一小时抽出10 钟的产品进行检验,这种抽查方式是()A. 简单随机抽样B. 类型抽样C. 整群抽样D.等距抽样5. 若销售量增加,销售额不变,则物价指数()A. 降低B. 升高C. 不变D. 无法确定6. 某灯泡厂为了掌握该厂的产品质量,拟进行一次全厂的质量大检查,这种检查应当选择()A. 统计报表B. 重点调查C. 全面调查D. 抽样调查7. 根据各年的月份资料计算的季节指数其平均数为()A. 100 B. 1200 C.120 D. 4008. 直接反映总体规模大小的指标是()A. 平均指标 B. 相对指标 C. 总量指标 D. 变异指标9. 说明 回归直线拟合程度的统计量主要是()A. 相关系数B. 回归系数 C.判定系数D. 估计标准误差10. 如果调查对象之中包含的单位很多,而且缺少原始记录可供参考,这种情况应用()A. 抽样调查 B. 重点调查C. 普查 D. 统计报表11. 某连续性变量的分组中,其末组为开口组,下限为200,

又知其邻组的组中值为170,则末组的组中值为()。A. 260 B. 215 C. 230 D. 18512. 当已知时,总体均值μ 的1- 置信水平下的置信区间为()。A. B. C. D.13. 计算平均指标时,最常用的方法和最基本的形式是()。A. 中位数B.众数C. 调和平均数 D. 算术平均数14. 若已知是的 3 倍,是的 1.5 倍,则相关系数()。A. B. C. D.15. 要对某市高等学校的科研所进行调查,则统计总体是()。A. 某市所有的高等学校B. 某一高等学校的科研所 C. 某一高等学校 D. 某市所有高等学校的科研所二、多项选择题(本大题共40 分,共10 小题,每小题4 分)1. 统计指数的性质主要有()A. 综合性 B. 代表性 C. 相对性D. 平均性2. 统计预测工作应当遵循的原则有()A. 定量分析是定性分析的基础 B.根据现象的特点选用适宜的预测方法 C. 坚持实事求是的原则 D. 统计预测中的定量预测要大量使 用模型外推法3. 相关系数与回归系数的关系有()。A. 回归系数大于零则相关系数大于零 B. 回归系数小于零则相关系数小于零C. 回归系数大于零则相关系数小于零 D. 回归系数小于零则相关系数大于零 E. 回归系数等于零则相关系数等于零4. 统计表从外表形式看,主要有()A. 统计数字资料 B. 标题 C. 主词 D.标目 E. 宾词5. 在编制加权综合指数时,确定权数需要考虑的问题有() A. 现象之间的内在联系B. 权数的所属时期 C. 权数的具体数值D. 权

《土力学》期末试卷及答案

《土力学》期末试卷及答案 一、填空题(每空1分,共20分) 1、无粘性土的性质主要取决于颗粒的粒径、级配 2、用三轴试验测定土的抗剪强度指标,在其它条件都相同的情况下,测的抗剪强度指标值最大的是固结排水剪切、试验,最小的是不固结不排水剪切试验。 3、评价粗颗粒土粒径级配的指标有不均匀系数、曲率系数和。 4、τf表示土体抵抗剪切破坏的极限能力,当土体中某点的剪应力τ=τf时,土体处 于状态;τ>τf时,土体处于状态;τ<τf时,土体处于状态。 5、桩按受力分为和。 6、用朗肯土压力理论计算土压力时,挡土墙墙背因、,墙后填土表面因。 7、桩的接头方式有、和。 8、建筑物地基变形的特征有、、和倾斜四种类型。 二、选择题(每小题2分,共10分) 1、采用搓条法测定塑限时,土条出现裂纹并开始断裂时的直径应为() (A)2mm (C) 4mm(D) 5mm 2、《地基规范》划分砂土的密实度指标是() (A)孔隙比(B)相对密度(D) 野外鉴别 3、建筑物施工速度较快,地基土的透水条件不良,抗剪强度指标的测定方法宜选用() B)固结不排水剪切试验(C)排水剪切试验(D)直接剪切试验 4、地基发生整体滑动破坏时,作用在基底的压力一定大于()。 (A)临塑荷载(B)临界荷载(D)地基承载力 5、夯实深层地基土宜采用的方法是 ( ) (B)分层压实法(C)振动碾压法(D)重锤夯实法 三、简答题(每小题5分,共20分) 1、直剪试验存在哪些缺点? 2、影响边坡稳定的因素有哪些? 3、产生被动土压力的条件是什么? 4、什么是单桩竖向承载力?确定单桩承载力的方法有哪几种? 四、计算题(共50分) 1、某土样重180g,饱和度S r=90%,相对密度为2.7,烘干后重135g。若将该土样压密,使其干密度达到1.5g/cm3。试求此时土样的天然重度、含水量、孔隙比和饱和度。(10分) 1、解:由已知条件可得原土样的三相数值为: m=180g m s=135g m w=180-135=45g V s=135/2.7=50cm3 V w=45 cm3 V v=45/0.9=50cm3 V=50+50=100 cm3 土样压密后的三相数值为:V=135/1.5=90cm3 V v=90-50=40 cm3 V w=40 cm3 m w=40g m=135+40=175g γ=175/90×10=19.4 kN/m3 w=40/135×40%=30% e=40/50=0.8

统计学考试试卷A及答案

2012—2013学年第二学期闽江学院考试试卷 考试课程:统计学 试卷类别:A卷□√B卷□考试形式:闭卷□√开卷□ 适用专业年级:2011级金融学、国际贸易学、保险学专业 注明:试卷答案请做在答题纸上。 一、单选题(每题1分,共30分,30%) 1. 下列不属于描述统计问题的是() A根据样本信息对总体进行的推断B了解数据分布的特征 C分析感兴趣的总体特征D利用图,表或其他数据汇总工具分析数据 2. 根据样本计算的用于推断总体特征的概括性度量值称作() A.参数 B. 总体C.样本 D. 统计量 3. 通过调查或观测而收集到的数据称为() A.观测数据 B. 实验数据 C.时间序列数据 D. 截面数据 4. 从总体中抽取一个元素后,把这个元素放回到总体中再抽取第二个元素,直至抽取n个元素为止,这样的抽样方法称为()。 A.重复抽样 B.不重复抽样 C.分层抽样 D.整群抽样5. 调查时首先选择一组调查单位,对其实施调查之后,再请他们提供另外一些属于研究总体的调查对象,调查人员根据所提供的线索,进行此后的调查。这样的调查方式称为()。 A 系统抽样 B 整群抽样 C 滚雪球抽样 D 判断抽样 6. 下面的哪一个图形最适合于描述结构性问题() A.条形图 B.饼图 C.雷达图 D. 直方图 7. 对于大批量的数据,最适合描述其分布的图形是( ) A.条形图 B.茎叶图 C.直方图 D.饼图 8. 将某企业职工的月收入依次分为2000元以下、2000元~3000元,3000元~4000元、4000元~5000元、5000元以上几个组。最后一组的组中值近似为( ) A.5000 B.7500 C.5500 D.6500 9. 下列关于众数的叙述,不正确的是() A.一组数据可能存在多个众数 B.众数主要适用于分类数据 C.一组数据的众数是唯一的 D.众数不熟极端值的影响 10. 一组数据的最大值与最小值之差称为() A.平均数 B.规范差 C.极差 D.四分位差 11.如果一组数据不是对称分布的,根据切比雪夫不等式,对于k=3,其意义是() A.至少有75%的数据落在平均数加减3个规范差的范围之内 B. 至少有89%的数据落在平均数加减3个规范差的范围之内

土力学试卷及答案总结

土力学试卷及参考答案 一、填空题(每空1分,共10分) 1. ___________________ 在工程中常用_____________ 标准贯入试验试验来判别天然砂层的密实度。 2 .在土层中,通过土粒传递的粒间应力称为____________ 有效应力。 3. 土在侧限条件下的压缩性可以用 _________孔隙比____ 和____ 应力关系曲线(或e-p曲线)的 关系曲线来表示。 4 .地基的总沉降为瞬时沉降、固结沉降和______________ 次固结 ___ 沉降三者之和。 5 .地基沉降计算深度下限,一般可取地基附加应力等于自重应力的_ c。 6 . 土中的液态水可分为自由水和―结合水______ 两大类。 7 .砂土和粘性土的工程分类分别按_颗粒的粒径大小____________ 和_塑性指数__________ 进行。 二、选择题(每小题1分,共10分) 1 .建筑物基础作用于地基表面的压力,称为( A ) A、基底压力 B、基底附加压力 C、基底净压力 D、附加压力 2. 在土的三相比例指标中,直接通过试验测定的是( B ) A、G s,w ,e B、G s,w, C、G s,,e D,w,e 3 ?地下水位长时间下降,会使(A ) A 、地基中原水位以下的自重应力增加 B 、地基中原水位以上的自重应力增加 C、地基土的抗剪强度减小 D 、土中孔隙水压力增大 4?室内压缩试验的排水条件为(B) A、单面排水 B 、双面排水C、不排水D先固结,后不排水 5 ?设条形基础的宽度B,沉降量S,若基底单位面积平均附加压力相同,则(A ) A、B大S大B 、B大S小C、B对S无影响 D 无法确定 6?土中一点发生剪切破坏时,破裂面与小主应力作用方向的夹角为( B )

统计学期末考试试题及答案(共2套)

期末考试 统 计 学 课程 A 卷试题 一、单项选择题(在每小题的四个备选答案中选择 一个正确的答案代码填入题前括号内,每小题1分,共10分) 【 】1、甲、乙两组工人的平均日产量分别为18件和15件。若两组工人的平均日产量不变,但是甲组工人数占两组工人总数的比重上升,则两组工人总平均日产量会 A 、上升 B 、下降 C 、 不变 D 可能上升,也可能下降 【 】2、甲班学生平均成绩80分,标准差8.8分,乙班学生平均成绩70分,标准差8.4分,则 A 、 乙班学生平均成绩代表性好一些 B 、甲班学生平均成绩代表性好一些 C 、无法比较哪个班学生平均成绩代表性好 D 、两个班学生平均成绩代表性一样 【 】3、某企业单位产品成本计划在上月的基础上降低2%,实际降低了1.5%,则单位产品成本降低计划完成程度为 A 、 75% B 、 99.5% C 、100.5% D 、 133.2% 【 】4、某企业最近几批产品的优质品率P分别为85%、82%、91%,为了对下一批产品的优质品率进行抽样检验,确定必要的抽样数目时,P应选 A 、91% B 、85% C 、94% D 、82% 【 】5、一般而言,总体平均数的无偏、有效、一致估计量是 A 、样本平均数 B 、样本中位数 C 、 样本众数 D 、不存在 【 】6、单相关系数等于零时意味着变量X 与Y 之间一定 A 、无任何相关关系 B 、无线性相关关系 C 、无非线性相关关系 D 、以上答案均错误 【 】7、在右侧检验中,利用P 值进行检验时,拒绝原假设的条件是 A 、P 值> α B 、P 值>β C 、 P 值< α D 、 P 值<β 【 】8、正态总体,方差未知,且样本容量小于30,这时检验总体均值的统计量应取 A 、n S x Z 0μ-= ~N(0,1) B 、 n x Z σμ0 -= ~N(0,1) C 、)1(~)1(2 2 2 2 --= n S n χσχ D 、)1(~0--= n t n S x t μ 【 】9、原始资料平均法计算季节指数时,计算各年同期(月或季)的平均数,

大学土力学试卷及答案

土力学试卷及答案 一.名词解释(每小题2分,共16分) 1.塑性指数 液限和塑限之差的百分数值(去掉百分号)称为塑性指数,用表示,取整数,即: —液限,从流动状态转变为可塑状态的界限含水率。 —塑限,从可塑状态转变为半固体状态的界限含水率。 2.临界水力坡降 土体抵抗渗透破坏的能力,称为抗渗强度。通常以濒临渗透破坏时的水力梯度表示,称为临界水力梯度。 3.不均匀系数 不均匀系数的表达式: 式中:和为粒径分布曲线上小于某粒径的土粒含量分别为60%和10%时所对应的粒径。 4. 渗透系数:当水力梯度i等于1时的渗透速度(cm/s或m/s)。 5. 砂土液化:液化被定义为任何物质转化为液体的行为或过程。对于饱和疏松的粉细砂, 当受到突发的动力荷载时,一方面由于动剪应力的作用有使体积缩小的趋势,另一方面由于时间短来不及向外排水,因此产生很大的孔隙水压力,当孔隙水压力等于总应力时,其有效应力为零。根据太沙基有效应力原理,只有土体骨架才能承受剪应力,当土体的有效应力为零时,土的抗剪强度也为零,土体将丧失承载力,砂土就象液体一样发生流动,即砂土液化。 6. 被动土压力 当挡土墙向着填土挤压移动,墙后填土达到极限平衡状态时,作用在墙上的土压力称为被动土压力。 7.残余强度 紧砂或超固结土的应力—应变曲线为应变软化型,应力应变曲线有一个明显的峰值,过此峰值以后剪应力便随着剪应变的增加而降低,最后趋于某一恒定值,这一恒定的强度通常 称为残余强度或最终强度,以表示。 8.临塑荷载 将地基土开始出现剪切破坏(即弹性变形阶段转变为弹塑性变形阶段)时,地基所承受的基底压力称为临塑荷载。 四、问答题(每小题5分,共25分) 1.粘性土的塑性指数与液性指数是怎样确定的?举例说明其用途? 塑性指数的确定:,用液塑限联合测定仪测出液限w L、塑限w p后按以上公式计算。 液性指数的确定:,w为土的天然含水率,其余符号同前。 塑性指数越高,土的粘粒含量越高,所以塑性指数常用作粘性土的分类指标。根据该粘性土在塑性图中的位置确定该土的名称。 液性指数表征了土的天然含水率与界限含水率之间的相对关系,可用来判别粘性土所处的状 态。当,土处于坚硬状态;当,土处于可塑状态;当,土处于流动状态。 2.流土与管涌有什么不同?它们是怎样发生的?

统计学试题2套及答案

一、单项选择题 (每小题 2分,共 20 分) 1.社会经济统计的数量特点表现在( )。 A ?它是一种纯数量的研究 B. 它是从事物量的研究开始来认识事物的本质 C. 它是从定性认识开始以定量认识为最终目的 D. 它是在质与量的联系中研究社会经济现象的数量方面 2.若不断重复某次调查,每次向随机抽取的 100 人提出同一个问题,则每次都能得到 一个回答“是”的人数百分数,这若干百分数的分布称为: ( )。 A .总体平均数的次数分布 B .样本平均的抽样分布 C. 总体成数的次数分布 D .样本成数的抽样分布 3. 当变量数列中各变量值的频数相等时( )。 A .该数列众数等于中位数 B .该数列众数等于均值 C. 该数列无众数 D .该众数等于最大的数值 4. 描述数据离散程度的测度值中,最常用的是( )。 A .全距 B .平均差 C. 标准差 D .标准差系数 5. 计算无关标志排队等距抽样的抽样误差,一般近似采用 ( )。 A .多阶段抽样的误差公式 B .简单随机抽样的误差公式 C. 分层抽样的误差公式 D .整群抽样的误差公式 6. 将报告期两个城市物业管理费用的物价水平进行综合对比,属于( )。 D. 肯定为负数 9.若产品产量增加,生产费用不变,则单位产品成本指数 ( )。 10.下列现象中具有侠义相关系数的现象的是( )。 A .定期存款的利率与利息 B .某种商品的销售额与销售价格 C .居民收入与商品销售额 D .电视机产量与粮食产量 、多选题:( 每小题 3分,共 15分) A .强度相对数 C. 结构影响指数 B .动态相对数 D .静态指数 7.某地区商品销售额增长了 5%,商品零售价格平均增长 2%,则商品销售量增长 ( )。 A. 7% B . 10% C . 2.94% D . 3% 8.对于有线性相关关系的两变量建立的直线回归方城 Y=a+bx 中,回归系数 b ( )。 A .肯定是正数 B .显著不为 0 C .可能为0 A. 上升 B .下降 C .不变 D .不确定

【试卷]_土力学_模拟题6套及答案

模拟题 (一) 1、简述太沙基有效应力原理。(10) 2、简述土的破坏形式,各是什么?(10) 3、用土的极限平衡理论说明说明主动土压力与被动土压力的概念。(10) 4、土坡失稳的根本原因是什么?(10) 5、粘性土和砂性土地基受荷后,其沉降特性有何不同? (10) 6、结合室内完全侧限条件下的压缩试验,推导出压缩模量Es 和压缩系数a 的关系式a e Es 1 1+= 。(15) 7、某饱和粘性土样,做室内压缩试验,试样高20mm ,以测定该土的%,0.38,/6.1831==ωγm KN 土粒比重为2.75。试求: (1)土样的初始孔隙比及饱和度? (7) (2)加上50kPa 压力后,固结度达90%的时间为8分28.8秒,试计算该土的固结系数。(按双面排水且U=90%时,Tv=0.848) (7) (3)该土样系取自地基中饱和粘土层,该饱和粘土层厚20m ,Es=3Mpa,该层顶面为砂层,试计算当在地面上一次加上大面积均布荷载Po=150kPa 后,饱和粘土层固结度达90%所需的时间?最终沉降量是多少? (11) 8、某挡土墙高 4.5m ,墙背垂直、光滑,填土表面水平。填土分两层:上层厚度 2.0m,为粉质土, ,6.6,20,/9.1810131kPa c m KN ===φγ 下层厚度2.5m, 为砂性土,,0,30,/1820232kPa c m KN ===φγ 求主动 土压力及作用点并绘出分布图。(15) 9、某一桥墩基础地面尺寸m m 0.30.3?,埋置深度为 1.0m 。作用在基础底面的轴向荷载为kN N 1600=(包括基础自重)。地基 岩土资料如下:表层土粗砂,厚1.5m ,处于密实状态,3/0.18m kN r =;其下第二层土为粘土层,厚度为1.5m, 3/0.19m kN r =, 该土层室内压缩试验结果,kPa p 1001=时,对应的孔隙比,85.01=e kPa p 2002=时对应的,79.01=e 第三层为基岩。求 解: (1)粘土层的压缩系数21-α,并判断该土的压缩性如何。(10) (2)粘土层在外荷载作用下的沉降量(以基础中心点为计算点,忽略砂土层的沉降),已知角点下的附加应力系数),( b z b l K c 为:244.0)3.0,0.1(=c K 38.1)33.1,0.1(=c K (15) 11、试谈土质土力学发展对于路基路面修建技术发展的影响。并举例说明。(20) 模拟题(一)答案 1、简述太沙基有效应力原理。(10) 答案:(1)土的有效应力等于总应力减去孔隙水压力。(5) (2)土的有效应力控制了土的变形。(5) 2、简述土的破坏形式,各是什么?(10) 答案:地基土破坏形式有三种(3),即整体剪切破坏(一般发生在密实砂土中)、局部剪切破坏(一般发生在中等密砂中)和刺入破坏(一般发生在松砂中)。(7) 3、用土的极限平衡理论说明说明主动土压力与被动土压力的概念。(10) 答案:挡土墙在墙后填土压力作用下,背离着填土方向移动,这时作用在墙上的土压力将由静止土压力逐渐减小,当墙后土体达到平衡,并出现连续滑动面土体下滑,这时土压力减至最小值,称为主动土压力。(5) 挡土墙在外力作用下,向填土方向移动,这时作用在墙上的土压力将由静止土压力逐渐增大,当墙后土体达到极限平衡,并出现连续滑动面使土体向上隆起,这时土压力增至最大值,称为被动土压力。(5) 4、土坡失稳的根本原因是什么?(10) 答案:外荷载的作用使土坡原有的应力平衡状态发生改变,丧失稳定(5);土坡在各种因素的综合作用下,使构成土坡的土体的抗剪强度降低。(5) 5、粘性土和砂性土地基受荷后,其沉降特性有何不同? (10) 答案:粘性土的透水性比砂性土的透水性差,(3)所以在受荷后初期粘性土的沉降量比砂性土的沉降量小。(7)

理工大学考试试卷考卷含答案统计学试卷库

理工大学考试试卷考卷含答案统计学试卷库 Prepared on 24 November 2020

6、全国人均国民收入是一个平均指标。() 7、如果两个数列的全距相同,那么它们的离散程度就完全相同。() 8、平均增长量等于逐期增长量之和除以逐期增长量的个数。() 9、同度量因素就是将复杂经济总体中不同度量的事物转化为同度量事物的媒介因素。() 10、抽样误差之所以能得到控制,是因为可以调整总体方差的大小。() 11、时点指标数值的大小与时点间的间隔长短没有直接联系。() 12、据拉氏公式计算指数,应将同度量因素固定在报告期。() 13、在三种非全面调查中,抽样调查最重要,典型调查最好,重点调查次之。() 14、强度相对指标的数值大小,如果与现象的发展程度或密度成正比,则称为正指标。() 15、如果时间数列各期逐期增长量大体相同,应配合直线。() 三、多项选择题(本题总分20分,每小题2分) 1、统计学的研究对象是社会经济现象的数量方面,它包括:A具体事物数量的多少 B现象之间的数量关系 C数据资料的搜集手段 D事物质量互变的数量界限 E抽象的数量规律 2、要对北京市的流动人口结构进行调查,适宜的调查方式是:A非全面调查 B统计报表 C重点调查 D抽样调查 E典型调查 3、对某市工业生产进行调查,得到以下资料,其中的统计指标是:A某企业为亏损企业B实际产值为亿元C职工人数为10万人D某企业资金利税率为30% E机器台数为750台 4、在按工人工种分组的基础上,再按性别分组,这样的分组叫:A简单分组 B复合分组 C对资料再分组 D平行分组体系 E复合分组体系 5、相对指标中,分子分母可以互换的有:A比较相对指标 B比例相对指标 C强度相对指标 D结构相对指标 E动态相对指标 6、通过标志变异指标可以反映:A分配数列中各标志值的集中趋势 B分配数列中各标志值的变动范围C分配数列中各标志值的离散程度 D总体各单位标志值的离异程度E总体各单位标志值的分布特征 7、自中华人民共和国成立以来,已经进行过五次人口普查,第一次与第二次间隔11年,第二次与第三次间隔18年,第三次与第 四次间隔8年,第四次与第五次间隔10年,这种调查是: A全面调查 B一次性调查 C经常性调查 D专门调查 E定期调查 8、抽样调查与其它非全面调查相区别的主要特点有: A按随机原则抽取调查单位B专门调查 C可以计算抽样误差 D以概率论和数理统计学为理论基础E调查资料时效性强 9、时间序列按指标表现形式不同可分为: A绝对数时间序列 B时期序列 C相对数时间序列 D时点序列 E平均数时间序列 10、2005年按不变价格计算的工业总产值,甲地区为乙地区的128%,这个指数是: A总产值指数 B产量指数 C动态指数 D静态指数 E价格指数 四、填空题(本题总分10分,每小题1分) 1、十七世纪中叶,威廉.配第的代表作《_______》的问世,标志着统计学说的诞生。 2、调查表一般分为单一表和_______。 3、正确地确定_______和任务是一切统计调查的最重要的问题。 4、编制时间数列应遵循的基本原则是_______。 5、计划任务的下达有的按全期累积完成总量,有的按计划期末达到的水平,因此,计划的检查相应的有_______和水平法两种。 6、在计算比较相对指标时,分子分母可以是绝对数的对比,也可以是相对数或______的对比。 7、在各种平均指标中,不受极端值影响的两个平均数是_______。 8、时间数列中有两个基本构成要素:一个是现象所属的时间,另一个是现象的________。 9、编制质量指标指数时,一般应以______数量指标为同度量因素。 10、在计算抽样平均误差缺少总体标准差资料时,可以用______标准差来代替。 五、计算分析题(本题总分40分,每小题10分)

相关主题