搜档网
当前位置:搜档网 › 00频率可变的任意波形发生器的设计

00频率可变的任意波形发生器的设计

00频率可变的任意波形发生器的设计
00频率可变的任意波形发生器的设计

深圳大学实验报告

课程名称:Verilog数字系统设计教程

实验项目名称:频率可变任意波形发生器的设计

学院:电子科学与技术

专业:微电子

指导教师:刘春平

报告人:潘志钟学号:2007160051 班级:07级微电1班实验时间:2009-12-8 ~ 2010-1-11

实验报告提交时间:2010-1-4

教务处制

(·····这里可加前言摘要之类的东西····自己想来写···)

1 设计原理

DDS 是一种把数字信号通过数/模转换器转换成模拟信号的合成技术。直接数字频率合成技术 (DDS )是一种以采样定理为基础的全数字化频率合成波形的方法 。DDS 频率合成器主要由频率寄存器 、相位寄存器 (需要时可加入 ) 、相位累加器 、波形存 储表 ( ROM ) , DAC 转换器和模拟低通滤波器 ( LPF ) 等组成 。在系统时钟 ( SYSCLK )输入一定的情况下 ,频率寄存器中的频 率控制字决定系统输出频率 , 而相位累加器的位数决定了系统频率分辨率 。 总体设计方案及其原理说明:

图 1-1 系统总体设计方案

相位累加器由 N 位加法器和 N 位累加寄存器级联而成 。每当系统时钟 SYSCL K 产生一个上升沿 , N 位加法器将频率寄 存器中的频率控制字 ( FR EQDA TA )与上一个系统时钟累加寄

存器输出的累

加相位数据相加,相加后的结果送累加寄存器。这样在系统时钟的作用下, 不断对频率控制字进行线性相位累加,相位累加器的溢出率就是DD S任意波形发生器的输出频率。

2 设计与实现

实际上DD S就是通过改变地址增量来达到控制输出频率的目的,而波形存储器( ROM )是以相位为地址,存有一个或多个按相位划分幅值的波形幅度信息。

参考频率f_clk为整个合成器的工作频率,输入的频率字保存在频率寄存器中,经N位相位累加器,累加一次,相位步进增加,经过内部ROM波形表得到相应的幅度值,经过D/A转换和低通滤波器得到合成的波形(数模转换在这里不作要求)。△P为频率字,即相位增量;参考频率为f_clk;相位累加器的长度为N位,输出频率f_out为:

式中F_out为输出信号的频率;F_clk为基准时钟频率。N为相位累加器的位数;△P为频率控制字(步长)。理论上通过设定F_clk、N 和△P就可以得到各种频率波形的输出。在本设计中, 相位累加器位数N =6, f_clk位长为32, 系统时钟频率为27M H z。

3 各模块实现

3. 1相位累加器

在设计波形发生器时, 要实现频率可变,相位累加器是关键的一

个部分。在modelsim 开发环境下,本实验通过改变△P的值来变换输出频率f_out。假设△P初值为0,每个波形(正弦波、方波、正三角波、反三角波)的采样数据点的仿真数值输出,△P累加一次,即△P=△P + n , n = 16 ;当△P >= 56时,△P置零,依此循环累加。频率的不同,即波形周期的不同,函数单周期内输出波形的量化数据的宽度也不一样。(正弦波为例)如下图所示:

(····你用自己的方式表示····我的是以这种方式··你用其他方式吧,怕老师为难)

图1-2 正弦波的仿真输出

如图,当P=16时,将P值代入公式,在本设计中,相位累加器位数N = 6, f_clk位长为32, 系统时钟频率f_clk为27M H z,则可计出输出频

率f_out=16/64*27000000=6.75MHZ;同理可求出当P=32、48或64时对应的输出频率f_out。

3. 2模9计数器

本实验各个波形一个周期内采取9个量化数据点,所以用模9计数器。八位段寄存器D的低四位D【3:0】用于计数,高四位D【7:4】用于波形选择。D【7:4】=DH,当DH=0000时,输出为正弦波;DH=0001时,输出为方波;DH=0010时,输出为正三角波;DH=0011时,输出为反三角波。

累加器部分和计数器部分的主要程序代码如下:

always @(posedge f_clk)

begin

D[7:4]=DH;

begin

if(p>=56)

begin

p=n;

begin

if(D[3:0]>=4'b1000)

D[3:0]<=0;

else

D[3:0]<=D[3:0]+4'b0001;

end

end

else

p=p+n;

end

end

3. 3 ROM波形存储表

本实验设计一个ROM按顺序间隔存放所有波形的量化数据,并在modelsim 开发环境下进行波形数字仿真输出。

各波形的量化数据表设计如下:

段地址基地址 D7 D6 D5 D4 D3 D2 D1 D0

0000 0000 0

0000 0001 7

0000 0010 10

0000 0011 7

0000 0100 0

0000 0101 -7

0000 0110 -10

0000 0111 -7

0001 0000 10

0001 0001 10

0001 0010 10

0001 0011 10

0001 0100 10

0001 0101 -10

0001 0110 -10

0001 0111 -10

0010 0000 0

0010 0001 1

0010 0010 2

0010 0011 3

0010 0100 4

0010 0101 5

0010 0110 6

0010 0111 7

0011 0000 0

0011 0001 -1

0011 0010 -2

0011 0011 -3

0011 0100 -4

0011 0101 -5

0011 0110 -6

0011 0111 -7

图1-3 函数查找表的设计

四种波形单周期的取样示意图如下:

图1-4 四种波形单周期的取样示意图

程序编写用function函数来对ROM波形函数存储表存储各波形的量化数据。各波形量化数据表设计程序代码如下:

function [7:0] ROM;

input [7:0] D;

case(D)

//正弦波的量化采样数据//

8'b00000000: ROM = 0;

8'b00000001: ROM = 7;

8'b00000010: ROM = 10;

8'b00000011: ROM = 7;

8'b00000100: ROM = 0;

8'b00000101: ROM = -7;

8'b00000110: ROM = -10;

8'b00000111: ROM = -7;

8'b00001000: ROM =0;

//方波的量化采样数据//

8'b00010000: ROM = 10;

8'b00010001: ROM = 10;

8'b00010010: ROM = 10;

8'b00010011: ROM = 10;

8'b00010100: ROM = 10;

8'b00010101: ROM = -10;

8'b00010110: ROM = -10;

8'b00010111: ROM = -10;

8'b00011000: ROM = -10;

//正三角波的量化采样数据// 8'b00100000: ROM = 0;

8'b00100001: ROM = 1;

8'b00100010: ROM = 2;

8'b00100011: ROM = 3;

8'b00100100: ROM = 4;

8'b00100101: ROM = 5;

8'b00100110: ROM = 6;

8'b00100111: ROM = 7;

8'b00101000: ROM = 8;

//反三角波的量化采样数据// 8'b00110000: ROM = 0;

8'b00110001: ROM = -1;

8'b00110010: ROM = -2;

8'b00110011: ROM = -3;

8'b00110100: ROM = -4;

8'b00110101: ROM = -5;

8'b00110110: ROM = -6;

8'b00110111: ROM = -7;

8'b00111000: ROM = -8; default : ROM = 8'bx; endcase

endfunction

assign date= ROM(D);

4 仿真试验

在modelsim 开发环境下,编写一个仿真程序,并可仿真输出各波形的量化数据。仿真程序如下:

`include"DDS.v"

module test;

wire [7:0] date,D;

wire [27:0] p;

reg f_clk;

reg [27:0] n;

reg [3:0] DH;

always #10 f_clk = ~f_clk;

initial

begin

f_clk =0;

DH=0;

n=16;

#720 DH = 1;

#720 DH = 2;

#720 DH= 3;

end

test w4 (.p(p),.D(D),.date(date),.f_clk(f_clk),.n(n),.DH(DH)); endmodule

下面是所设计的DD S任意波形发生器在modelsim 中的时序仿真。

(·········图你自己找其他的,这些图我删了一些,这部分你自己想办法修一下···)

图1-5 正弦波的仿真输出

如图所示,当段地址DH=0000时,单周期内输出正弦波采样数据点的仿真数据date对应的数值依此为0、7、10、7、0、-7、-10、-7、0。

图1-6 方波的仿真输出

如图所示,当段地址DH=0001时,单周期内输出方波采样数据点的仿真数据date对应的数值依此为10、-10;

图1-8 正三角波的仿真输出

如图所示,当段地址DH=0010时,单周期内输出正三角波采样数据点的仿真数据date对应的数值依此为0、1、2、3、4、5、6、7、8;

图1-10 反三角波的仿真输出

如图所示,当段地址DH=001时,单周期内输出反三角波采样数据点的仿真数据date对应的数值依此为0、-1、-2、-3、-4、-5、-6、-7、-8;

5体会(这部分你要自己写)················

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

利用Labview实现任意波形发生器的设计

沈阳理工大学课程设计专用纸No I

1 引言 波形发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。可见,为适应现代电子技术的不断发展和市场需求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。 波形发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL),直接数字合成技术(DDS)。 传统的波形发生器一般基于模拟技术。它首先生成一定频率的正弦信号,然后再对这个正弦信号进行处理,从而输出其他波形信号。早期的信号发生器大都采用谐振法,后来出现采用锁相环等频率合成技术的波形发生器。但基于模拟技术的传统波形发生器能生成的信号类型比较有限,一般只能生成正弦波、方波、三角波等少数的规则波形信号。随着待测设备的种类越来越丰富,测试用的激励信号也越来越复杂,传统波形发生器已经不能满足这些测试需要,任意波形发生器(AWG)就是在这种情况下,为满足众多领域对于复杂的、可由用户自定义波形的测试信号的日益增长的需要而诞生的。随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器输出频率较低。目前的任意波形发生器普遍采用DDS(直接数字频率合成)技术。基于DDS技术的任意波形发生器(AWG)利用高速存储器作为查找表,通过高速D/A转换器对存储器的波形进行合成。它不仅可以产生正弦波、方波、三角波和锯齿波等规则波形,而且还可以通过上位机编辑,产生真正意义上的任意波形。

DSP任意波形信号发生器毕业设计

目录 摘 要 (2) Abstract (3) 1 绪论 (4) 1.1概述 (4) 1.2选题的目的、意义 (4) 1.3 选题的背景 (5) 1.4 本文所研究的内容 (6) 2 波形信号发生器的原理及方案选择 (7) 2.1任意波形信号发生器的原理 (7) 2.1.1 直接模拟法 (7) 2.1.2 直接数字法 (7) 2.2 任意波形发生器的设计方案 (9) 2.2.1 查表法 (9) 2.2.2计算法 (9) 2.2.3传统方法 (10) 3 基于DSP 5416的任意波形信号发生器的软件设计 (12) 3.1 TMS320C5416的开发流程 (12) 3.2软件开发环境 (13) 3.3任意波形信号发生器的软件编程 (14) 3.3.1 计算法实现波形输出 (14) 3.3.2 D/A转换 (15) 3.3.3波形控制及软件设计流程图 (16) 3.4参数的设定 (18) 4 基于DSP 5416的任意波形信号发生器的硬件设计 (20) 4.1 TMS320VC5416开发板 (20) 4.2 TMS320VC5416实验箱的连接 (23) 4.3 波形信号发生器的硬件测试过程 (23) 5 任意波形信号发生器展望 (28) 结束语 (29) 致谢 (30) 参考文献 (31)

摘 要 任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。 目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。 关键词:DSP,任意波形信号发生器,DDS

频率可调的方波信号发生器设计

频率可调的方波信号发生器设计 用单片机产生频率可调的方波信号。输出方波的频率范围为1Hz-200Hz, 频率误差比小于0.5%。要求用增加、减小2 个按钮改变方波给定频率,按钮每按下一次,给定频率改变的步进步长为1Hz,当按钮持续按下的时间超过2 秒后,给定频率以10 次/秒的速度连续增加(减少),输出方波的频率要求在数码管上显示。用输出方波控制一个发光二极管的显示,用示波器观察方波波形。开机 默认输出频率为5Hz。3.5.1 模块1:系统设计(1)分析任务要求,写出系统整体设计思路任务分析:方波信号的产生实质上就是在定时器溢出中断次数达到规定次数时,将输出I/O 管脚的状态取反。由于频率范围最高为200Hz,即每 个周期为5ms(占空比1:1,即高电平2.5ms,低电平2.5 ms),因此,定时器可以工作在8 位自动装载的工作模式。涉及以下几个方面的问题:按键的扫描、功能键的处理、计时功能以及数码管动态扫描显示等。问题的难点在按键连续按下超过2S 的计时问题,如何实现计时功能。系统的整体思路:主程序在初始化变量和寄存器之后,扫描按键,根据按键的情况执行相应的功能,然后 在数码显示频率的值,显示完成后再回到按键扫描,如此反复执行。中断程序 负责方波的产生、按键连续按下超过2S 后频率值以10Hz/s 递增(递减)。(2)选择单片机型号和所需外围器件型号,设计单片机硬件电路原理图采用MCS51 系列单片机At89S51 作为主控制器,外围电路器件包括数码管驱动、独立式键盘、方波脉冲输出以及发光二极管的显示等。数码管驱动采用2 个四联共阴极 数码管显示,由于单片机驱动能力有限,采用74HC244 作为数码管的驱动。在74HC244 的7 段码输出线上串联100 欧姆电阻起限流作用。独立式按键使用上提拉电路与电源连接,在没有键按下时,输出高电平。发光二极管串联500 欧 姆电阻再接到电源上,当输入为低电平时,发光二极管导通发光。

基于51单片机的波形发生器的设计讲解

目录 1 引言 (1) 1.1 题目要求及分析 (1) 1.1.1 示意图 (1) 1.2 设计要求 (1) 2 波形发生器系统设计方案 (2) 2.1 方案的设计思路 (2) 2.2 设计框图及系统介绍 (2) 2.3 选择合适的设计方案 (2) 3 主要硬件电路及器件介绍 (4) 3.1 80C51单片机 (4) 3.2 DAC0832 (5) 3.3 数码显示管 (6) 4 系统的硬件设计 (8) 4.1 硬件原理框图 (8) 4.2 89C51系统设计 (8) 4.3 时钟电路 (9) 4.4 复位电路 (9) 4.5 键盘接口电路 (10) 4.7 数模转换器 (11) 5 系统软件设计 (12) 5.1 流程图: (12) 5.2 产生波形图 (12) 5.2.1 正弦波 (12) 5.2.2 三角波 (13) 5.2.3 方波 (14) 6 结论 (16) 主要参考文献 (17) 致谢...................................................... 错误!未定义书签。

1引言 1.1题目要求及分析 题目:基于51单片机的波形发生器设计,即由51单片机控制产生正弦波、方波、三角波等的多种波形。 1.1.1示意图 图1:系统流程示意图 1.2设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调,频率步进间隔≤100Hz,非正弦波的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。

任意信号发生器毕业设计开题报告书

苏州科技学院 毕业设计开题报告 设计题目任意信号发生器的硬件设计(基于89C51实现)院系电子与信息工程学院 专业电子信息工程 班级电子0911 学生姓名XXXXXXX 学号 设计地点 指导教师 2013 年3月31 日

设计题目:任意信号发生器的硬件设计(基于89C51实现)课题目的、意义及相关研究动态: 一、课题目的: 信号发生器是一种能产生模拟电压波形的设备,这些波形能够校验电子电路的设计。信号发生器广泛用于电子电路、自动控制系统和教学实验等领域,它是一种可以产生正弦波,方波,三角波等函数波形的一起,其频率范围约为几毫赫到几十兆赫,在工业生产和科研中利用信号发生器输出的信号,可以对元器件的性能鉴定,在多数电路传递网络中,电容与电感组合电路,电容与电阻组合电路及信号调制器的频率,相位的检测中都可以得到广泛的应用。因此,研究信号发生器也是一个很重要的发展方向。 常用的信号发生器绝大部分是由模拟电路构成的,但这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不但参数准确度难以保证,而且体积和功耗都很大,而本课题设计的函数信号发生器,由单片机构成具有结构简单,价格便宜等特点将成为数字量信号发生器的发展趋势。 本课题采用的是以89c51为核心,结合 DAC0832实现程控一般波形的低频信号输出,他的一些主要技术特性基本瞒住一般使用的需要,并且它具有功能丰富,性能稳定,价格便宜,操作方便等特点,具有一定的推广作用。 二、课题意义: (1)任意信号发生器主要在实验中用于信号源,是电子电路等各种实验必不可少的实验设备之一,掌握任意信号发生器的工作原理至关重要。 (2)任意信号发生器能产生某些特定的周期性时间任意波形(正波、方波、三角波)信号,频率范围可从几个微赫到几十兆赫任意信号发生器在电路实验和设备检测中具有十分广泛的用途。 (3)本课题主要研究开发一个基于51单片机的实验用任意信号发生器,不但成本较低而精度较高,最重要的是开发简单易于调试,具有一定社会价值和经济价值。 (4)任意信号发生器作为一种常见的电子仪器设备,既能够构成独立的信号源,也可以是高新能的网络分析仪,频谱仪以及自动测试装备的组成部分,任意信号发生器的关键技术是多种高性能仪器的支撑技术,因为它是能够提高质量的精密信号源及扫描源,可使相应系统的检测过程大大简化,降低检测费用并且提高检测精度。

简易信号发生器和简易频率计

中原工学院 电子技术综合课程设计任务书

目录 第一张概述 (3) 第二章课程设计基本步骤和方法 (4) 第三章设计题目及内容 (6) 第四章心得体会 (16) 第五章主要设备及清单 (17) 第六章参考文献 (19) 第七章附录 (20)

概述 电子技术综合是高校电子类专业的重要技术课程,是继开设的“电子线路”、“数字电子技术”、“模拟电子技术”和“EDA”后的一门独立的课程,是加强学生专业实践,培养学生运用理论知识解决实践问题、训练科学实验能力和创新能力的主要环节。 在教师的指导下,学生通过综合运用所学知识,结合电子技术方面某一专题独立的开展电路的设计、安装与实验。其基本任务一方面是巩固模拟电子技术、数字电子技术课程及前期基础性试验的学习成果,两一方面是培养和训练学生的科学作风及其在电子技术方面的实践技能,提高学生综合运用电子技术知识解决实际问题的能力。 该课程是时间性非常强的课程,强调学生对电子技术的应用,运用所学的知识来解决实际的问题,学生通过熟悉设计任务、查找资料、设计电路、计算机仿真、安装调试和总结书写设计报告环节,学会自己分析、找出解决问题的方法;对设计中遇到的问题,能独立思考,查阅资料,寻找答案;掌握一些测试电路的基本方法,实践中出现一般故障,能通过“分析、观察、判断、实验、在判断”的基本方法独立解决;初步掌握电子工程设计的思路和方法,学习电子产品生产工艺的基本知识和基本操作技能,为将来能在工

作岗位上灵活运用所学的知识以及学习接受新的电子技术知识打下良好的基础。 第二章课程设计基本步骤和方法 1.方案设计 根据设计任务书给定的技术指标和条件,初步设计出完整的电路(预设计)。 主要任务是准备好实验文件,其中包括:划出方框图;画出构成框图的个单元的逻辑电路图;画出整体逻辑图;提出元器件清单;画出连接图。要完成这一阶段的任务,需要设计者进行反复思考,大量参阅文献和资料,将各种方案进行比较及可行性论证,然后才能将方案确定下来。具体步骤是: A明确带设计系统的总体方案;

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

任意波形发生器

基于CPLD和单片机的任意波形发生器设计 在电子工程设计与测试中,常常需要一些复杂的、具有特殊要求的信号,要求其波形可任意产生,频率方便可调。通常的信号产生器难以满足要求,市场上出售的任意信号产生器价格昂贵。结合实际需要,我们设计了一种任意波形发生器。电路设计中充分利用MATLAB的仿真功能,将希望得到的波形信号在MATLAB中完成信号的产生、抽样和模数转换,并将得到的数字波形数据存放在数据存储器中,通过单片机和CPLD控制,将波形数据读出,送入后向通道进行A/D转换和放大处理后得到所需的模拟信号波形。利用上述方法设计的任意波形发生器,信号产生灵活方便、功能扩展灵活、信号参数可调,实现了硬件电路的软件化设计。具有电路结构简单、实用性强、成本低廉等优点。 任意波形发生器的设计思想,是利用MATLAB的强大仿真功能,方便、快捷的生成给定频率、周期、脉宽的任意波形数据;并将数据预存在数据存储器中。在单片机控制下,利用CPLD电路产生地址读出数据,送入D/A转换电路,得到所需的任意波形信号。系统结构框图如图1;图中分频电路和地址发生器由CPLD实现。 图1 系统框图 单片机采用AT89C52芯片,通过软件编程产生所要求的控制信号。主要的控制参数包括:信号周期、脉宽;分频电路的开始信号、地址发生器的复位信号;E2PROM的选通信号;D/A转换电路的选通信号。在具体电路中,端口P1.0控制分频电路的启动、P1.1控制地址发生器的清零,P2.0控制 28C256和AD7545的选通信号。单片机工作在定时器0方式,软件设计利用C语言实现。流程图如图2所示。 图2 软件流程图 MATLAB作为一款优秀的数学工具软件,具有强大的运算功能;可以方便的产生各种信号波形,在软件中实现波形信号的产生、抽样和模数转换。设计的任意波形发生器,数据存储器选用28C256芯片,信号波形通过MATLAB仿真产生;得到的波形数据存放在数据存储器28C256中。具体设计中,我们要求产生周期为200ms,脉宽为5ms的单/调频混合信号,其中单频信号的脉宽为4ms,频率为 30KHz;调频信号的脉宽为1ms,频率为30KHz_35KHz。在MATLAB中设定抽样率为500KHz,得到了

基于某DSP的任意信号发生器设计汇总情况

数字信号处理(DSP) 综合设计性实验报告 学院:电子信息工程学院 班级:通信0708 指导教师:高海林 学生:原凌云07211253 张丽康07211256

北京交通大学电工电子教学基地 2004年12月28日 目录 一、设计任务 (3) 二、实验目的 (3) 三、设计内容 (3) 四、实验原理 (4) 五、程序设计 (6) 1、程序源代码 2、实验截图和结果 六、实验总结 (22) 七、参考资料 (23)

一、设计任务书 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。信号发生器在现代工程中应用非常广泛。在实际中常需要产生一些特殊波形,用于仿真实际信号的波形,以检测和调试测量装置。 使用DSP 和D/A 转换器可以产生连续的正弦波信号,同样也能产生方波、锯齿波、三角波等其它各种信号波形。本设计要求采用DSP及其D/A转换器产生上述各种信号波形。 二、实验目的 (1)了解产生信号的两种方法及各自的优缺点。 (2)掌握使用DSP产生正弦波的原理和算法,进而掌握一般信号产生的原理和方法。 (3)掌握5402DSK CODECC(A/D、D/A)的工作原理和初始化过程。(4)掌握使用指针访问片上ROM中正弦查找表的方法。

三、设计内容 使用DSP 产生300—4000HZ 的正弦信号,要求使用查表法,测量产生的信号波形的频率和幅度,并且频率可变、幅度可变、直流分量可变。用软件CCS5000编程实现,并硬件(DSK 板或示波器)连接进行功能演示。 使用计算法产生余弦波分量。 发挥部分: (1)使用DSP 产生300—4000HZ 的方波、锯齿波和三角波。 (2)使用现有程序,实现不改变源程序,频率和幅度自动可调。 四、实验原理 产生连续信号的方法通常有两种:查表法和计算法,查表法不如计算法使用灵活。计算法可以使用泰勒级数展开法进行计算,也可以使用差分方程进行迭代计算或者直接使用三角函数进行计算。计算结果可以边计算边输出,也可以先计算后输出。 正弦函数和余弦函数的泰勒级数数学表达式为: =x sin ΛΛ+--+-+-+---)! 12()1(!9!7!5!31 219753n x x x x x x n n ,x ?),(∞-∞∈ =x cos ΛΛ+-+-+-+-)! 2()1(!8!6!4!2128 642n x x x x x n n ,x ?),(∞-∞∈. 如果要计算一个角度ⅹ的正弦和余弦值,可以取其前五项进行近似计算。 或使用下面递归的差分方程进行计算。 y [n ]=A*y [n -1]-y [n -2] 其中:A=2cos(x ),x =2πF/F S 。F —信号频率,

信号发生器的制作及其频率显示设计报告

《电子技术》 课程设计报告 专业:电子信息工程 班级:08级电子信息1班 题目:波形发生器及其频率的显示姓名:杨芳、孙立凯、李成波 指导教师:宁仁霞 2010年12月20日

摘要:为加强对电子技术实践能力的培养,检验对电子技术理论知识的掌握程度,设计了此波形发生器及其频率显示系统。本系统综合运用了模拟电路知识和数字电路知识,分别用于波形的产生和频率的显示。波形产生使用运算放大器组成的相关电路产生方波、矩形波、三角波、锯齿波、正弦波。频率显示模块由秒脉冲发生电路、测频控制电路、集成计数寄存译码数码管显示电路组成。为突出系统的完整性和系统性,特做了串联稳压电源。 根据实测的结果表明:所设计的系统稳定可靠,波形线性好,频率显示清晰。关键词:波形产生、频率显示、串联稳压电源

目录 1 引言 (4) 2 设计要求和设计指标 (4) 2.1 设计要求 (4) 2.2 设计指标 (4) 3 方案设计 (4) 3.1 设计思路 (4) 3.2 单元模块电路设计方案选择 (5) 3.2.1 稳压电源部分 (5) 3.2.1 波形产生电路部分 (5) 3.2.3 波形发生器运放选择 (6) 3.2.4 频率显示部分电路 (6) 4 电路模块实现 (8) 4.1 稳压电源模块实现 (8) 4.2 波形发生电路模块实现 (8) 4.3 频率显示控制及频率显示电路模块 (10) 4.4 其他 (11) 4.5 实际焊接的电路 (11) 5 系统测试 (11) 5.1 测试仪器 (11) 5.2 测试方法 (11) 5.3 测试结果 (12) 5.4 测试结果分析 (13) 6 结论 (13) 参考资料 (13) 附录1 元器件清单 (10) 附录2 系统硬件原理图 (10)

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

基于labVIEW的任意波形发生器设计余洪伟详解

沈阳航空航天大学 课程设计 (论文) 题目基于labVIEW的任意波形发生器设计 班级 34070102 学号 2013040701060 学生姓名余洪伟 指导教师于明月

沈阳航空航天大学 课程设计任务书 课程名称虚拟仪器课程设计 院(系)自动化学院专业测控技术与仪器 班级34070102 学号2013040701060 姓名余洪伟 课程设计题目基于LabVIEW的任意波形发生器设计 课程设计时间: 2016 年7 月4 日至2016 年7 月15 日课程设计的内容及要求: 1. 内容 任意波形发生器是仿真实验的最佳仪器,任意波形发生器是信号源的一种,它具有信号源所有的特点。基于此,利用LabVIEW 设计一个任意波形发生器。 2. 要求 (1)可以产生三种以上波形(如正弦、锯齿、方波、三角波等),波形的幅值及频率可以调节; (2)可以实现不同波形的转换并显示; (3)可以实现波形数据的存储及回放; (4)虚拟仪器前面板的设计美观大方、操作方便。 指导教师年月日 负责教师年月日 学生签字年月日

目录 0. 前言 (1) 1. 总体方案设计 (1) 2.程序流程图 (2) 3. 程序框图设计 (3) 3.1波形的产生及参数的设计 (3) 3.1.1 正弦波 (3) 3.1.2方波 (4) 3.1.3锯齿波 (4) 3.1.4三角波 (5) 3.1.5公式波形 (6) 3.2波行转换设计 (6) 3.3噪声波形实现 (7) 3.4波形的存储与回放 (8) 4. 前面板的设计 (9) 5.调试过程与结果显示 (10) 5.1波形的调试 (10) 5.1.1 正弦波的工作过程及波形验证 (10) 5.1.2 方波的工作过程及波形验证 (11) 5.1.3 三角波的工作过程及波形验证 (12) 5.1.4 锯齿波的工作过程及波形验证 (12) 5.1.5 公式波形的工作过程及波形验证 (13) 5.2 波形的存储与回放 (14)

基于MCS-51单片机的可调频率方波发生器课程设计报告[1]

单片机课程设计报告 设计题目:频率可调方波发生器 专业班级:生物医学工程09班 组长:李建华 组员:梁国锋,赖水兵,郭万劲,李建华2010 年 06 月 16日

摘要 本实验是基于PHILIPS AT89C51 单片机所设计的,可以实现键位与数字动态显示的一种频率可调方波发生器。通过键盘键入(10HZ-9999HZ)随机频率,使用七段数码管显示,每一个数码管对应一个键位。单片机对各个键位进行扫描,确定键位的输入,然后数码管显示输入的数值,方波发生器输出以数码管显示的数值为频率的方波。 关键词:单片机七段数码管键盘电路频率可调方波发生器

一、目的和功能 1.1 目的: 设计一种频率范围限定且可调的方波发生器,志在产生特定频率的方波。 1.2功能: 假设键盘是4*4的键盘,当键盘输入范围在10hz-9999hz的数字,单片机控制数码管显示该数值,并把该数值当做方波发生器的输入频率,单片机控制该方波发生器以该数值作为频率显示方波,从而得到我们想要频率的方波。 二、硬件设计 2.1 硬件设计思想 键盘的数字和键位关系固定,通过键盘输入产生频率,通过LED数码管显示出来,每一个数码管对应一个键位。基本设备是基于PHILIPS AT89C51单片机,外围设备采用的是4个七段数码管,PHILIPS A T89C51单片机,1个OSCILLOSCOPE 方波发生器,16个Button,若干电阻,电源电池。 2.2 部分硬件方案论述 2.2.1 七段数码管扫描显示方式的方案比较 方案一:静态显示方式:静态显示方式是指当显示器显示某一字符时,七段数码管的每段发光二极管的位选始终被选中。在这种显示方式下,每一个LED数码管显示器都需要一个8位的输出口进行控制。静态显示主要的优点是显示稳定,在发光二极管导通电流一定的情况下显示器的亮度大,系统运行过程中,在需要更新显示内容时,CPU才去执行显示更新子程序,这样既节约了CPU的时间,又提高了CPU的工作效率。其不足之处是占用硬件资源较多,每个LED数码管需要独占8条输出线。随着显示器位数的增加,需要的I/O口线也将增加。

陈冲EDA课程设计_任意波形信号发生器

EDA课程设计 任 意 波 形 信 号 发 生 器

姓名: 陈冲 班级: 07通信工程 指导老师:孙惠章 目录 一.简述 (3) 二.设计性能要求 (3) 三.系统框图 (3) 四.系统电路图 (3) 五.基本工作原理 (4) 六. 单元电路模块源程序及功能 (5)

七.系统仿真波形 (10) 八.引脚锁定 (11) 九.实验结果及硬件验证 (11) 十.实验心得 (13) 任意波形信号发生器的设计 一.简述 随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作 用。采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga 设计的多功能波形发生器系统,大大简化其结构, 降低成本, 提高了系统的可靠性 和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏 功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的 波形。 二.设计性能要求 1.能输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。 2.具有幅度和频率的调整。 3.单元电路模块使用VHDL语言编写。

三.系统框图 图1.任意波形信号发生器系统框图四.系统电路图

图2.任意波形信号发生器系统电路图 五.基本工作原理 将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。 选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。 对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。幅度调节可以使用lpm_divide,可以实现八种不同的幅度调节。 六.单元电路模块源程序及功能 1.分频模块 以下为分频模块(CT74161)的VHDL语言编程源程序 LIBRARY IEEE;

简易波形发生器的设计

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

基于LabVIEW的任意波形发生器设计

---------------------------------------------------------------范文最新推荐------------------------------------------------------ 基于LabVIEW的任意波形发生器设计 摘要任意波形发生器是现代测试领域应用最为广泛的通用仪器之一,本论文的主要工作是结合虚拟仪器技术,进行任意波形发生器的研究与设计。 论文介绍了虚拟仪器技术的基本理论,进行了任意波形发生器的软件设计,制定了系统整体方案。本利用功能强大的图形化虚拟仪器开发平台LabVIEW,主要完成对软件系统的设计,采用模块化的设计思想,每个功能的实现由一个模块完成。其中主要包括标准信号(正弦波、方波、三角波、锯齿波)、均匀白噪声、高斯白噪声以及任意波形的生成。最后对虚拟任意波形发生器进行了系统测试和性能分析,实验结果达到了预先的设计要求。9224 关键词虚拟仪器;任意波形发生器;LabVIEW 毕业设计说明书(论文)外文摘要 1 / 20

TitleDesign of Arbitrary Waveform Generator based on LabVIEW Abstract Arbitrary Waveform Generator is a modern field test one of the most widely used general-purpose equipment. The main task of this paper is a combination of virtual instrument technology,arbitrary waveform generator of the research and design. The paper introduces the basic theory of virtual instrument technology.The paper carried out arbitrary waveform generator software design.Developed a system as a whole program.This paper,a powerful graphical development platform Virtual Instrument LabVIEW,mainly to complete the design of software systems,using modular design concept,every function of transition from one module to complete.Which mainly include the generation of Standarded signals(Sine wave,Triangular wave,Square wave,Sawtooth wave),Uniform white noise,Gaussian white

信号发生器设计(附仿真)

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器 A 1 输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

任意波形信号发生器

目录 一、题目要求及分析 (1) 1.1题目要求 (1) 1.2题目分析 (1) 二、任意波形信号发生器方案设计 (3) 2.1系统设计框图与思路 (3) 2.2 系统设计原理图 (5) 2.3 相关芯片介绍 (6) 三、相关模块具体程序实现 (10) 四、仿真及实际结果与分析 (16) 4.1波形选择及仿真结果 (16) 4.2波形选择及实际结果 (18) 4.3结果分析与相关问题解决 (23) 五、总结与体会 (24) 参考文献 (25) 附录 (26)

一、题目要求及分析 1.1题目要求 任意波形信号发生器 利用FPGA器件产生控制信号及数据信号,经DAC0832和TL082转换产生以下波形: 1)正斜率斜波; 2)正弦波; 3)锯齿波; 4)任意波形。 用示波器观察输出波形。 硬件电路内容和要求:用DAC0832实现数模转换电路,用TLC082实现电流-电压转换电路,画出电路原理图。 软件设计内容和要求:VHDL编程实现任意波形的信号控制器。要求可以用开关切换不同的波形数据输出。 扩展:增加衰减控制信号,通过开关控制衰减倍数,并在数码管显示。 1.2题目分析 VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。相比传统的电路系统的设计方法,VHDL 具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。 在本次课程设计中,函数发生器的设计采用自顶向下的系统设计的方法,通过MAX+plusⅡ开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,采用模块化

相关主题