搜档网
当前位置:搜档网 › 逻辑与计算机设计基础答案 chapter02

逻辑与计算机设计基础答案 chapter02

逻辑与计算机设计基础答案 chapter02
逻辑与计算机设计基础答案 chapter02

计算机应用基础课程整体教学设计

《计算机应用基础》课程整体教学设计 一、课程简介 课程名称:计算机应用基础(computer essential) 课程类别:必修课 授课对象:一年级非计算机专业学生 课程类型:公共基础 课程开设的目的: 为了培养非计算机专业学生的计算机素质,使学生具备必需的办公自动化软件操作能力和internet应用能力,并使之与本专业相结合,以适用于实际工作需要。 教学对象(特点): (1)中职生源: 中职学生100%开设本门课程,学时数为40至100之间;中职各校本门课程内容讲授Windows系统、Word、Excel和Powerpoint占54%,只讲授Windows、word占33%,讲授Windows的占13%。由于本门课程在高考时是笔试,中职各校教学以高考为目标,绝大多数采用理论授课为主,学生上机练习较少;打字练习占了较大比重。 (2)高中生源: 高中学生学习了本门课程占67%,没有学习本门课程的占33%。学时数在10至20之间。课程内容开设Windows系统、Word、Excel的占41%,只讲授Windows、word占8%,只讲授Windows的占47%。不讲授Powerpoint。在教学中,教师讲得很少,基本上是让学生自由练习。 (3)共性: 体现在教学内容上: 在Word部分,高中只讲授简单格式设置内容;中职讲授内容较多,但体现办公自动化并实际应用较多的长文档编辑和邮件合并等内容高中和中职均未列入教学内容。 在Excel部分,高中只讲授简单的表格格式设置;中职在此基础上讲授了图表、数据处理。但对于实用函数使用、合并计算、数据有效性等内容高中和中职均未列入内容。 在Powerpoint部分,高中没有讲授,中职只讲授了简单的幻灯片制作,对于体现个性化的制作没有讲授。 体现在教学方式方法

计算机组成与设计答案

计算机组成与设计答案——节选 9. 设计算机A有60条指令,指令操作码为6位固定长度编码,从000000到111011。其后继产品B需要增加32条指令,并与A保持兼容, (1) 试为计算机B设计指令操作码。(2) 计算操作码平均长度。答::(1)6位操作码中保留了111100到111111四个码字,如果不再保留码字可增加3位扩展码,这样增加的32条指令的操作码为111100,000到111111,111中的一个。(2)如果每条指令的使用概率相等,则平均指令长度为: (6×60 + 9×32)/(60+32) = 7.04 注意:B计算机与A计算机保持兼容意味着B计算机原封不动地采纳A计算机的指令,可增加新的指令,但A计算机中已有的指令不能做任何改动。 10. 某计算机的指令系统字长定长为16位,采用扩展操作码,操作数地址需要4位。该指令系统已有三地址指令M条,二地址指令N 条,没有零地址指令,问系统最多还有多少条一地址指令? 答:三种指令的操作码长度分别为4位、8位和12位。设系统最多有L条一地址指令,则有 L=((24-M) ?24-N) ?24 13. 在一个单地址指令的计算机系统中有一个累加器,给定以下存储

器数值: 单元20中的内容是40 单元30中的内容是50 单元40中的内容是60 单元50中的内容是70 求以下指令分别将什么数值装入到累加器中?(1) load #20 (2) load 20 (3) load (20) (4) load #30 (5) load 30 (6) load (30) 答:(1) 20 (2) 40 (3) 60 (4) 30 (5) 50 (6) 70 15. 一条双字长的指令存储在地址为W的存储器中。指令的地址字段位于地址为W+1处,用Y表示。在指令执行中使用的操作数存储在地址为Z的位置。在一个变址寄存器中包含X的值。试叙述Z是怎样根据其他地址计算得到的,假定寻址方式为 (1) 直接寻址(2) 间接寻址(3) 相对寻址(4) 变址寻址 答:根据题意画出如下示意图: WW+1变址寄存器XZ存储器?OP(操作码)Y(地址码)?A (1) 在直接寻址方式下,指令中存放的就是操作数的地址。即操作数的地址Z在地址为W+1处,Z从指令中得到,所以有Z=Y。(2) 在存储器间接寻址方式下,操作数的地址在某一个存储单元中,其地址在指令中。Z根据Y访存后得到,所以有Z = (Y)。 (3) 在相对寻址方式下,操作数的地址为PC的值(取完指令后PC的值为W+2)加上Y得到。所以有Z=W+Y+2。 (4) 在变址寻址方式下,操作数的地址为变址寄存器的值加上Y得到。所以有Z=X+Y

数字逻辑和设计基础 期末复习题

1、采用3-8线译码器74LS138和门电路构成的逻辑电路如图所示,请对该电路进行分析,写出输出方程,并化解为最简与-或式。(10分) 1、解:分析此图,可知:F1=0134m m m m +++, F2=4567m m m m +++ 化简过程:由卡诺图及公式化简均可,此处略 化简得:1F A C BC =+(2分) 2F A = 2.已知逻辑函数: F ABC ABC ABC ABC ABC =++++,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。 (10分) A 1 ST Y D 0D 1D 2D 3 A 0 ① 写出逻辑函数F 的表达式(2分) ==F A B C AB C ABC A BC ABC A B C AB C C A BC ABC A B C AB A BC ABC =+++++++++++() ② 写出4选1数据选择器输出端逻辑函数Y 的表达式(2分) 100101102103Y A A D A A D A A D A A D =+++ ③令 10A A A ==、B ,比较F 和Y 两式可得: (2分)

01231D C D D D C ==== ④ 根据上式画出的逻辑图。(4分) 五、 画出下列各触发器Q 端的波形:(设Q n = 0)(10 分,每小题5 分) 1、已知JK 触发器输入信号J 和K 、时钟脉冲CP 、异步置位端D R 和D S 的波形如下图 所示,试画出触发器输出端Q 的波形,设初始状态为0。(5分) Q CP J S D D K J 2、下图由边沿D 触发器构成的触发器电路,设其初始状态为0。输入信号如右 图所示,试画出Q 端的输出波形。(5分) CP Q D R D

计算机组成与设计 硬件 软件接口-第四版-课后题答案 第二章

2 Solutions Solution 2.1 2.1.1 a.add f, g, h add f, f, i add f, f, j b.addi f, h, 5 addi f, f, g 2.1.2 a.3 b.2 2.1.3 a.14 b.10 2.1.4 a. f = g + h b. f = g + h 2.1.5 a.5 b.5 Solution 2.2 2.2.1 a.add f, f, f add f, f, i b.addi f, j, 2 add f, f, g

S20 Chapter Solutions 2 2.2.2 a.2 b.2 2.2.3 a.6 b.5 2.2.4 a. f += h; b. f = 1–f; 2.2.5 a.4 b.0 Solution 2.3 2.3.1 a.add f, f, g add f, f, h add f, f, i add f, f, j addi f, f, 2 b.addi f, f, 5 sub f, g, f 2.3.2 a.5 b.2 2.3.3 a.17 b.–4

Chapter 2 Solutions S21 2.3.4 a. f = h – g; b. f = g – f – 1; 2.3.5 a.1 b.0 Solution 2.4 2.4.1 a.lw $s0, 16($s7) add $s0, $s0, $s1 add $s0, $s0, $s2 b.lw $t0, 16($s7) lw $s0, 0($t0) sub $s0, $s1, $s0 2.4.2 a.3 b.3 2.4.3 a.4 b.4 2.4.4 a. f += g + h + i + j; b. f = A[1];

数字逻辑考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) 101 0101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C ) A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。

数字逻辑设计习题参考答案 (第2,3章)

数字逻辑设计 习题册 班级: 学号: 姓名: 哈尔滨工业大学(威海) 计算机科学与技术学院体系结构教研室

第2章 逻辑代数基础 2—1 填空 1.摩根定理表示为:=?B A _B A + __;=+B A _B A ?__。 2. 函数表达式D C AB Y ++=,则其对偶式为='Y _D C B A ??+)(_______。 3.根据反演规则,若C D C B A Y +++=,则=Y C D C B A ?++)(。 4.函数式CD BC AB F ++=写成最小项之和的形式结果为 ()15,14,113,12,11,7,6,3∑m , 写成最大项之积的形式结果为)10,9,8,5,4,2,1,0(∏M 。 5. (33.33)10 =(100001.0101 )2 =( 41.2 )8 =( 21.5 )16 2—2 证明 1.证明公式()()A BC A B A C +=++成立。 2.证明此公式B A B A A +=+成立。 3.证明此公式)()()()()(C A B A C B C A B A +?+=+?+?+成立。 左边 (由分配律得) 右边 BC A BC B C A BC BA AC AA C A B A +=+++=+++=++)1())((B A A A B B B A B A B A AB AB B A B A AB B A B B A +=+++=+++=++=++=)()()(AC BC A B C A AC B C A C B B A ++=+?+=+ ?+?+=)()()()()(AC BC A B BC A B AC A A ++=+++=

(完整版)《计算机应用基础课程整体教学设计》

江门职业技术学院课程 教学设计 目录 1.《计算机应用基础》课程整体教学设计0 1.1管理信息0 1.2基本信息1 1.3课程性质和地位1 1.4课程设计1 1.4.1课程目标设计1 1.4.3 能力训练项目设计4 1.4.4教学进度表8 1.4.5课程的整体导入设计(第一堂课设计)14 1.5考核方案设计(建议,目前学院实行考证)14 1.5.1过程性考核(60分)14 1.5.2终结性考核(40分)15 1.《计算机应用基础》课程整体教学设计 1.1管理信息 课程名称:《计算机应用基础》制定时间:20XX年8月 课程代码:所属分院:电子与信息技术系 制定人:钟艳花批准人:

1.2基本信息 学分:3 课程类型:公共必修课程 学时:54 授课对象:大一非计算机类学生 后续课:《办公自动化》、《程序设计》、《图像处理》、《网页制作》等 1.3课程性质和地位 本课程教学是普及计算机教育和培养计算机文化与素养的重要基础课,属于高职教育非计算机类各专业学生必修基础课。 通过本课程的学习,使学生掌握以Windows 2000和Ms Office 2003为工作平台,应用计算机进行信息处理的基本手段和方法,提高学生综合利用办公软件的水平。具体包括:第一,通过Windows 2000的学习,掌握利用计算机对文件进行操作的基本方法;第二,通过Word2003的学习,掌握文字与图文排版的基本技术;第三,能利用Excel 2003进行数据分析处理;第四,能利用PowerPoint 2003制作艺术性较高的专业演示文稿;第五,达到全国计算机认证一级MS考试标准。 该课程对大学生计算机应用能力的培养,对顺利完成后续课程的学习,对毕业后能迅速适应岗位需要、具有可持续发展的再学习能力,具有重要作用。 1.4课程设计 1.4.1课程目标设计 本课程的一个核心教学目标是训练学生在计算机综合应用方面的职业技能。具体体现在以下三个方面。 (1)能力目标

计算机组成与设计第五版答案

解决方案4第4章解决方案S-34.1 4.1.1信号值如下:RegWrite MemReadALUMux MemWrite aloop RegMux Branch 0 0 1(Imm)1 ADD X 0 ALUMux是控制ALU输入处Mux 的控制信号,0(Reg)选择寄存器文件的输出,1(Imm)从指令字中选择立即数作为第二个输入。铝合金是控制Mux输入寄存器文件的控制信号,0(ALU)选择ALU的输出,1(Mem)选择存储器的输出。X值表示“不关心”(不管信号是0还是1)4.1.2除了未使用的寄存器4.1.3分支添加单元和写入端口:分支添加,寄存器写入端口没有输出:无(所有单元都生成输出)4.2 4.2.1第四条指令使用指令存储器、两个寄存器读取端口、添加Rd和Rs的ALU,寄存器中的数据存储器和写入端口。4.2.2无。此指令可以使用现有的块来实现。4.2.3无。此指令可以在不添加新的控制信号的情况下实现。它只需要改变控制逻辑。4.3 4.3.1时钟周期时间由关键路径决定。对于给定的延迟,它正好得到加载指令的数据值:I-Mem(读取指令)、Regs(长于控制时间)、Mux(选择ALU)输入)、ALU、数据存储器和Mux(从内存中选择要写入寄存器的值)。这个路径的延迟是400ps 吗?200秒?30秒?120秒?350马力?30秒?1130马力。1430马力(1130马力?300

ps,ALU在关键路径上)。4.3.2第4.3.2节加速度来自于时钟周期时间和程序所需时钟周期数的变化:程序要求的周期数减少了5%,但循环时间是1430而不是1130,所以我们的加速比是(1/0.95)*(1130/1430)?0.83,这意味着我们实际上在减速。S-4第4章解决方案4.3.3成本始终是所有组件(不仅仅是关键路径上的组件)的总成本,因此原处理器的成本是I-Mem、Regs、Control、ALU、D-Mem、2个Add单元和3个Mux单元,总成本是1000?200?500?100?2000年?2*30?3*10?3890我们将计算与基线相关的成本。相对于此基线的性能是我们先前计算的加速,相对于基线的成本/性能如下:新成本:3890?600?4490相对成本:4490/3890?1.15性价比:1.15/0.83?1.39条。我们必须付出更高的代价来换取更差的性能;成本/性能比未经修改的处理器差得多。4.2.2的单位是4.2倍,所以指令选择4.2倍的时间,而不是4.2倍的时间?4注意,通过另一个加法单元的路径较短,因为I-Mem的延迟比加法单元的延迟长。我们有:200秒?15磅?10磅?70秒?20秒?315 ps4.4.3条件分支和无条件分支具有相同的长延迟路径来计算分支地址。此外,它们还有一个长延迟路径,通过寄存器、Mux和ALU计算PCSrc

计算机组成与设计第五版答案

计算机组成与设计(2010年机械工业出版社出版的图书): 《计算机组成与设计》是2010年机械工业出版社出版的图书,作者是帕特森(DavidA.Patterson)。该书讲述的是采用了一个MIPS 处理器来展示计算机硬件技术、流水线、存储器的层次结构以及I/O 等基本功能。此外,该书还包括一些关于x86架构的介绍。 内容简介: 这本最畅销的计算机组成书籍经过全面更新,关注现今发生在计算机体系结构领域的革命性变革:从单处理器发展到多核微处理器。此外,出版这本书的ARM版是为了强调嵌入式系统对于全亚洲计算行业的重要性,并采用ARM处理器来讨论实际计算机的指令集和算术运算。因为ARM是用于嵌入式设备的最流行的指令集架构,而全世界每年约销售40亿个嵌入式设备。 采用ARMv6(ARM 11系列)为主要架构来展示指令系统和计算机算术运算的基本功能。 覆盖从串行计算到并行计算的革命性变革,新增了关于并行化的一章,并且每章中还有一些强调并行硬件和软件主题的小节。 新增一个由NVIDIA的首席科学家和架构主管撰写的附录,介绍了现代GPU的出现和重要性,首次详细描述了这个针对可视计算进行了优化的高度并行化、多线程、多核的处理器。 描述一种度量多核性能的独特方法——“Roofline model”,自带benchmark测试和分析AMD Opteron X4、Intel Xeo 5000、Sun Ultra SPARC T2和IBM Cell的性能。

涵盖了一些关于闪存和虚拟机的新内容。提供了大量富有启发性的练习题,内容达200多页。 将AMD Opteron X4和Intel Nehalem作为贯穿《计算机组成与设计:硬件/软件接口(英文版·第4版·ARM版)》的实例。 用SPEC CPU2006组件更新了所有处理器性能实例。 作者简介: David A.Patterson,加州大学伯克利分校计算机科学系教授。美国国家工程研究院院士。IEEE和ACM会士。曾因成功的启发式教育方法被IEEE授予James H.Mulligan,Jr教育奖章。他因为对RISC 技术的贡献而荣获1 995年IEEE技术成就奖,而在RAID技术方面的成就为他赢得了1999年IEEE Reynold Johnson信息存储奖。2000年他~13John L.Hennessy分享了John von Neumann奖。 John L.Hennessy,斯坦福大学校长,IEEE和ACM会士。美国国家工程研究院院士及美国科学艺术研究院院士。Hennessy教授因为在RISC技术方面做出了突出贡献而荣获2001年的Eckert-Mauchly奖章.他也是2001年Seymour Cray计算机工程奖得主。并且和David A.Patterson分享了2000年John von Neumann奖。

数字逻辑设计及应用 本科1 答案

1 电子科技大学网络教育考卷(A 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 一、填空题(每空1分,共20分) 1、请完成如下的进制转换:22.7510= 10110.11 2= 26.6 8= 16.C 16; 2、F6.A 16= 246.625 10= 0010 0100 0110.0110 0010 0101 8421BCD = 0101 0111 1001.1001 0101 1000 余3码 3、-9910的8位(包括符号位)二进制原码是 11100011 ,8位二进制反码是 10011100 ,8位二进制补码是 10011101 ; 4、请问逻辑F=A /B+(CD)/+BE /的反函数F /= A C D E CD B /+ ; 解: ACDE CD B CDE B ACDE CD B CD AB ) E B (CD )B A ()BE )CD (B A ( F ///////////+=+++=+??+=++= 5、F(A,B,C)=Σm (2,4,6)=ПM( 0,1,3,4,7 ); 6、请问图1-6所完成的逻辑是Y= A ⊕B ; 解:通过真值表可以可到该逻辑: 7、74148器件是一个3-8编码器,它采用的编码方式是 优先编码 或 数大优先编码 ; 8、74283器件是一个4位全加器,它的内部逻辑电路与串行加法器不同,采用的是 超前进位 或 先行进位 方法来实现全加逻辑。 9、如果一个与或逻辑电路的函数式为:)C B )(B A (Y / / ++=,该逻辑存在静态冒险,现通过添加冗余项的方式来消除该冒险,则该冗余项为 (A /+C ) ; 10、请写出JK 触发器的特性方程:* Q = JQ /+K /Q ; 11、请写出T 触发器的特性方程:*Q = T ⊕Q 或者TQ /+T /Q ; 12、请写出D 触发器的特性方程:*Q = D ; 13、请写出SR 触发器的特性方程:*Q = S+R /Q ; 14、如果某组合逻辑的输入信号的个数为55个,则需要 6 位的输入编码来实现该逻辑。 解:采用的公式应该是log 255,向上取整 二、选择题(每题1分,共10分) 1、下面有关带符号的二进制运算,描述正确的是,其中X 是被加数,Y 是加数,S 为和: ①. [X]原码+[Y]原码=[S]原码 ②. [X]补码+[Y]补码=[S]补码 ③. [X]反码+[Y]反码=[S]反码 ④. [X]原码+[Y]原码=[S]补码 2、逻辑函数式AC+ABCD+ACD /+A /C= ①. AC ②. C ③. A ④. ABCD 3、请问F=A ⊕B 的对偶式=D F ①. A+B ②. A ⊙B ③. AB ④. AB /+A /B 4、已知门电路的电平参数如下:,,,,V 8.0V V 0.2V V 5.0V V 7.2V max IL min IH max O L min O H ====请问其高电平的噪声容限为: ①.2.2V ②.1.2V ③.0.7V ④.0.3V 5、下面描述方法,对于一个组合逻辑而言,具备唯一性的是: ①.逻辑函数式 ②.真值表 ③.卡诺图 ④.逻辑电路图 6、下面电路中,属于时序逻辑电路的是: ①.移位寄存器 ②.多人表决电路 ③.比较器 ④.码制变换器 7、一个D 触发器的驱动方程为Q X D ⊕=,则其逻辑功能与以下哪种触发器相同: ①. JK 触发器 ②. SR 触发器 ③. D 触发器 ④. T 触发器 8、n 位环形计数器,其计数循环圈中的状态个(模)数为: ①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个 9、n 位扭环计数器,其计数循环圈中的状态个(模)数为: ①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个 10、如果用JK 触发器来实现T 触发器,则JK 触发器的驱动端需要做如下的连接: ①.J=K=0 ②.J=K=T ③.J=T;K=T ’ ④.J=T ’;K=T 三、判断题(每题1分,共10分) 1、CMOS 集成逻辑OD 门,可以用以线与操作;(√ ) 2、三态门的附加控制端输入无效时,其输出也无效;( Х ) 3、三态门的三个状态分别为高电平、低电平和高阻态;(√ ) 4、施密特触发输入的门电路,当输入从高电平变换到低电平,和从低电平变换到高电平,它的输出变化轨迹相 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-6

计算机组成与结构习题及答案

第一章:概述 一、选择题 1.完整的计算机系统应包括__ ___。 A. 运算器、存储器、控制器 B. 外部设备和主机 C. 主机和实用程序 D. 配套的硬件设备和软件系统 2.至今为止,计算机中的所有信息仍以二进制方式表示的理由是_ __。 A. 节约元件 B. 运算速度快 C. 物理器件的性能决定 D. 信息处理方便3.从系统结构看,至今绝大多数计算机仍属于__ __型计算机。 A. 并行 B. 冯.诺依曼 C. 智能 D. 实时处理 4.计算机外围设备是指__ ___ A. 输入/输出设备 B. 外存储器 C. 远程通讯设备 D. 除CPU 5.在微型机系统中,外围设备通过___ ___与主板的系统总线相连接。 A. 适配器 B. 译码器 C. 计数器 D. 6.冯·诺依曼机工作的基本方式的特点是__ ____。 A. 多指令流单数据流 B. 按地址访问并顺序执行指令 C. 堆栈操作 D. 存贮器按内容选择地址 7.微型计算机的发展一般是以_____ A. 操作系统 B. C. 磁盘 D. 8.下列选项中,___ ___ A. CPU B. ASCII C. 内存 D. 9.对计算机的软、硬件进行管理是__ ____ A. 操作系统 B. 数据库管理系统 C. 语言处理程序 D. 10.下面的四个叙述中,只有一个是正确的,它是____。 A.系统软件就是买的软件,应用软件就是自己编写的软件 B.外存上的信息可以直接进入CPU被处理 C.用机器语言编写的程序可以由计算机直接执行,用高级语言编写的程序必须经过编译(解释)才能执行 D.如果说一台计算机配置了FORTRAN语言,就是说它一开机就可以用FORTRAN 语言编写和执行程序 答案:1.D 2C. 3.B. 4.D. 5.A. 6B 7.B 8. B 9. A 10. C 二、判断题 1.在微型计算机广阔的应用领域中,会计电算化应属于科学计算应用方面。 2.决定计算机计算精度的主要技术指标一般是指计算机的字长。 3.计算机“运算速度”指标的含义是指每秒钟能执行多少条操作系统的命令。 4.利用大规模集成电路技术把计算机的运算部件和控制部件做在一块集成电路芯片上,这样的一块芯片叫做单片机。 答案:1.答案:错。2.答案:对。3.答案:错。4.答案:错。

《网页设计基础》复习题

一、单选题 1、目前在Internet上应用最为广泛的服务是(B)。 A、FTP服务 B、WWW服务 C、Telnet服务 D、Gopher服务 2、域名系统DNS的含义是( B)。 A、Direct Network System B、Domain Name Service C、Dynamic Network System D、Distributed Network Service 3、主机域名center. nbu. edu. cn由四个子域组成,其中( D)子域代表国别代码。 A、center B、nbu C、edu D、cn 4、当标记的TYPE属性值为( D)时,代表一个可选多项的复选框。 A、TEXT B、PASSWORD C、RADIO D、CHECKBOX 5、为了标识一个HTML文件开始应该使用的HTML标记是(C )。 A、

B、 C、 D、 6、在客户端网页脚本语言中最为通用的是(A )。 A、javascript B、VB C、Perl D、ASP 7、在HTML中,单元格的标记是(A )。 A、 D、 8、在DHTML中把整个文档的各个元素作为对象处理的技术是(C )。 A、HTML B、CSS C、DOM D、Script(脚本语言) 9、下面不属于CSS插入形式的是( A)。 A、索引式 B、内联式 C、嵌入式 D、外部式 10、在网页中最为常用的两种图像格式是(A )。 A、JPEG和GIF B、JPEG和PSD C、GIF和BMP D、BMP和PSD 12、在HTML中,要定义一个空链接使用的标记是( A). A、 B、 C、 D、 13、对远程服务器上的文件进行维护时,通常采用的手段是( B) A、POP3 B、FTP C、SMTP D、Gopher 14、Internet上使用的最重要的两个协议是(B ) A、TCP和Telnet B、TCP和IP C、TCP和SMTP D、IP和Telnet 15、body元素用于背景颜色的属性是(C) A、alink B、vlink C、bgcolor D、background 16、在Dreamweaver MX中,想要使用户在点击超链接时,弹出一个新的网页窗口,需要在超链接中定义目标的属性为(B ) A、parent B、_bank C、_top D、_self 17、在色彩的RGB系统中,32位十六进制数#000000表示的颜色是(A ) A、黑色 B、红色 C、黄色 D、白色 18、在Dreamweaver MX 中,中文输入时欲键入两个空格应该怎么做? ( B ) A. 在编辑窗口直接输入两个半角空格 B. 代码中输入两次“ ” C. 通过在编辑窗口输入TAB D. 通过在编辑窗口输入两次空格 19、下列关于Html标记不正确的是? ( D ) A. a标记用来标记超级链接 B. img标记用来插入图片 C. table标记用来插入表格

2019国开电大《程序设计基础》形考任务4答案讲解学习

2019国开电大《程序设计基础》形考任务 4答案

程序设计基础形考任务4: 1.算法的四种基本操作是逻辑运算、算数运算、数据比较、数据传送。2.算法的控制结构有三种基本形式:顺序结构、选择结构、循环结构。3.评价算法需要从几个不同的角度考虑,最主要的是正确性和运行效率。4.算法的运行效率包括两方面,算法的时间复杂度和算法的空间复杂度。5.结构化程序设计的总体思想是采用模块化结构,自顶向下、逐步求精。 6. 软件生存周期包括软件的定义、软件的开发和软件使用与维护3个部分。 7. 软件定义时期主要包括可行性研究和需求分析两个阶段。 8.软件开发时期是具体设计和实现在前一时期定义的软件,它由软件的设计阶段、实现阶段、集成阶段组成。 9.软件工程的目标是可概括为生产具有正确性、可用性以及开销合宜的产品。10.软件开发模型大体上可分为两种类型,第一种是以软件需求完全确定为前提的瀑布模型。第二种是在软件开发初始阶段只能提供基本需求时采用的渐进式开发模型。 二、选择题(每题4分,合计40分) 2下列叙述中正确的是_____。 选择一项: A. 算法就是程序

B. 设计算法时只需要考虑结果的可靠性 C. 以上三种说法都不对 D. 设计算法时只需要考虑数据结构的设计 3下列叙述中正确的是_____。 选择一项: A. 一个算法的空间复杂度大,则其时间复杂度也必定大 B. 以上三种说法都不对 C. 一个算法的空间复杂度大,则其时间复杂度必定小 D. 一个算法的时间复杂度大,则其空间复杂度必定小 4一个算法应该具有“确定性”等5个特性,下面对另外4个特性的描述中错误的是()。 选择一项: A. 有零个或多个输入 B. 可行性 C. 有穷性 D. 有零个或多个输出 5下面叙述正确的是()。 选择一项: A. 算法的时间复杂度是指执行算法所需要的计算工作量 B. 算法的效率只与问题的规模有关,而与数据的存储结构无关 C. 数据的逻辑结构与存储结构是一一对应的

计算机应用基础教学设计方案

一、课程概况 本课程是讲授计算机文化知识和微机基本使用方法的入门课程,内容着重计算机的基础知识、基本概念和基本操作技能,并兼顾实用软件的使用和计算机应用领域的前沿知识,为学生熟练使用计算机和进一步学习计算机有关知识打下基础。 本课程为模块化结构设计,课内72学时,4学分,一学期开设。其中:电视课(或CAI)10学时,上机实习52学时,定期辅导10学时。 二、课程教学媒体设置及内容安排 本课程采用模块化结构设计,课程内容目前由5个知识模块组成,不同地区和不同教学机构可根据不同的专业需求自由选择不同的知识模块组合。课程内容及教学课时安排如下: 本课程教学媒体包括文字教材、录像教材、CAI课件及网上动态教学信息等。 文字教材: 《计算机应用基础(本科)》 录像教材: 《计算机应用基础(本科)》 录像教材内容安排

CAI教学光盘: 包括全部7个知识模块(含相应的学习效果测试系统),采用引导和交互方式教授课程内容。 三、教学手段及学习建议 本课程的教学手段应主要依靠已有的教学媒体。知识性的内容主要通过自学文字教材完成;操作技能必须通过上机实习完成;CAI教学光盘及学习效果测试系统的使用应贯穿学习过程的始终;录像教材将讲授课程的重点、难点和学习方法;定期辅导讲解普遍存在的问题和集中答疑。 学生在学习本课程前,应首先理解教学大纲内容,其次了解教学媒体的种类和功能,再制定自己的学习计划。在学习过程中,应根据文字教材中提供的教学媒体配合使用方法和学习建议,采取下列步骤循序渐进地学习: 1. 阅读和预习学习内容; 2. 观看录像教材或CAI教学光盘; 3. 完成思考和作业题; 4. 上机实验; 5. 集中辅导和答疑; 6. 使用学习效果测试系统自测学习效果; 7. 通过自测结果发现问题,返回上述相关的步骤解决问题。 由于本课程特别注重对学生再学习能力和实际操作技能的培养,因此,上机实验在本课程的教学效果中起决定性作用,否则将可能无法通过考试。

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

数字逻辑设计习题参考答案(第4章)

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 C B)⊙(⊕=A Y 经过真值表分析其逻辑功能为当A 、B 、C 三个输入信号中有且只有两个为1时输出为1,其他为0。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? X Z Y S ⊕⊕= YZ X Z Y C +?⊕=)( Z Y P ⊕= Z Y L ?= 当取S 和C 作为电路的输出时,此电路的逻辑功能是1位全加器,其中X 为低位的进位,S 为当前位的和,C 为进位。(由真值表可C 与 YZ X Z Y +?+)(完全一致。) Z B C B A ?C B)⊙(?A Z ) (Z Y X ⊕?Z Y X ⊕?)(Z Y X ⊕?Z Y ?1234

4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 Z Y X F ⊕⊕=1 Z Y X F ?⊕=)(2 Z XY Z XY F +?=3 XYZ F =4 4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出1P 和 2P 的表达式,列出真值表,说明其逻辑功能。 ABC C B A m m m m Y Y P +??=+=?=?=7070701 6543216543212m m m m m m Y Y Y Y Y Y P +++++=??+??= C B C A B A ++= P1的逻辑功能为当三个输入信号完全一致时输出为1。 P2的逻辑功能为当上输入信号不完全一致时输出为1。 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备出故障时,指示灯红灯和黄灯都亮。 1234

计算机基础课程教学整体设计

《计算机文化基础》课程整体教学设计

课程建设的目标,是培养社会对现代信息技术人才的需要,是培养跨学科、综合型的“通才”的重要环节。在整个教学过程中,教师是教练,学生才是真正的主角;教师示范,学生练习,示练结合,以练为主。教师与学生的观念都应转变,教师应注重培养学生的学习能力而不是系统的知识,通过提高学生的学习兴趣、学习主动性,使学生变被动学习为主动学习,使学生的内在素质转为系统的职业能力。 四、课程设计指导思想 随着计算机技术的飞速发展,计算机应用的日益普及,该课程坚持以“夯实基础,适当拓展,突出应用,强化能力,服务专业,适应社会”为指导思想,采用项目化教学,为各专业搭建计算机应用的公共平台,充分利用网络技术、多媒体技术、现代化办公技术,构建科学性、系统性、实用性的行动导向项目化教学体系,培养学生自主学习能力和可持续发展能力,促进学生职业能力和职业素养的不断提高,凸显该课程的基础性、文化性、工具性、服务性的地位与作用。 本课程是计算机及非计算机专业计算机知识的入门课程,属公共基础课程,必修的课程,内容包含计算机的基础知识、基本概念和基本操作技能,实用软件的使用和计算机应用领域的前沿知识,为学生熟练使用计算机和进一步学习计算机有关知识打下基础。本课程的教学按照“以就业能力培养为导向”“以学生为中心”“以职业能力培养为课程核心”的教学指导思想,使学生能够更快地掌握计算机信息技术的相关知识。通过本课程的教学,培养学生掌握计算机基本原理与组成,学会使用计算机从事通用性的信息处理工作。本课程要求加强实践性教学环节,使学生具有应用计算机处理信息的能力和思维方法,培养学生独立解决计算机问题的能力,能运用计算机查询信息、获取信息、处理信息。根据学生的认知特点,采用递进与并列相结合的结构来展现教学内容,通过情景模拟、案例分析、协作开发等活动项目来组织教学,倡导学生在项目活动中掌握岗位技能和知识。

中职计算机基础教学设计

中职计算机基础教学设计Prepared on 21 November 2021

中职《计算机基础》项目教学设计 ——用WORD制作电子报为例 课程内容:WORD排版操作 所属学科:计算机基础 课程学时:2节课 教学对象:中专一年级 学习环境:计算机机房,每人一台可以上网的电脑 学生可以上网查找所需要的资料 一、中职《计算机基础》项目教学设计基本流程概述 二、项目学习教学设计的背景 培养“技能型、应用型人才”是中等职业技术教育的培养目标是。笔者所在校是国家级重点学校,教学对象是刚入学的新生,他们对职业中专的学习,尤其是计算机课程的学习有较强的新鲜感。学习之初,让学生们多完成一些类似职业岗位要的任务,对培养学生的分析问题、解决问题的能力,培养学生的创新能力大有益处同时,有助于学生走出初中的学习模式,适应中专的学习,建立高效的学习方法,快掌握计算机应用技术,为学生将来主动适应工作需要奠定基础。 基于以上认识,在《计算机应用基础》“文档编辑与管理”模块的教学中,笔设计了用Word制作电子报的任务。制作电子报虽在教学及研究中常常被采用,不新形式,但以制作电子报为学习Word的载体有无可替代的优势:(1)综合性强,及众多知识点,有一定难度,提高学生应用Word软件的实战水平;(2)锻炼学生实践能力和创新精神;(3)学生经历了搜集信息、整理信息、利用信息、表达信息过程,能够提高学生的信息素养。 电子报的主题为“我的职业目标”。专业技能是中职学生实现职业目标的基础中职生要成功地步入社会,寻找到适合自身发展和社会需要的就业平台,首先必须一技之长。职业教育即就业教育,学生入学时虽己选择了专业,但一些学生对自学习的专业缺乏深入的了解,专业思想不牢,学习意识不强。制作电子报“我的职目标”,要求学生立足自己的专业,规划出近期的职业目标。学生通过任务的完成,不仅能掌握Word图文混排、艺术化版面设计的技术,并且能加深对所学专业的认识熟知专业技能与就业的密切关系,巩固专业思想,激发学习专业技能的动力。在项目教学中,一个合适的项目可使学生形成学习的热情和期待完成的兴奋。 三、项目学习教学设计的理念 1.以实际问题的解决为中心 项目学习的目的是让学生通过解决所面临的实际问题的过程来获得学习。因此,中职计算机应用基础课程项目学习教学设计主要是从学生现有的计算机基础知识基础和学习能力出发,结合职业学校不同专业特点,设计与之相关的问题,以实际问题的解决为中心来开展教学。 2.从经验、项目和反思中获得学习在项目学习教学设计中,经验是项目的基础,学生要在原有知识和经验的基础上,寻解决问题的方法,并通过项目来验证方法正确与否,如果项目失败,则在此项目的反思过程中总结新的经验并改进解决问题的方法,然后再次通过项目进行检验,依此类推。因此项目学习是

相关主题
B、 C、