搜档网
当前位置:搜档网 › 使用任意波形发生器-Tektronix

使用任意波形发生器-Tektronix

使用任意波形发生器-Tektronix
使用任意波形发生器-Tektronix

使用任意波形发生器

创建无线信号

入门手册

使用任意波形发生器创建无线信号入门手册

2 https://www.sodocs.net/doc/0f12313192.html,/signal_generators

使用任意波形发生器创建无线信号

入门手册

目 录

摘要??????????????????????????????????????????????4简介??????????????????????????????????????????????4无线应用与数字调制??????????????????????????????????????5-12无线发射面临的挑战?????????????????????????????????????5为什么要数字调制??????????????????????????????????????6什么是数字调制???????????????????????????????????????7数字调制应用????????????????????????????????????????12数字无线测试?????????????????????????????????????????12-19发射机-I-Q调制器测试???????????????????????????????????13 IF滤波器效率和损伤测试???????????????????????????????????14发射机-RF功率放大器线性度?????????????????????????????????15接收机-IF解调器测试????????????????????????????????????16接收机-RF功能测试????????????????????????????????????17接收机-平衡器特性评估???????????????????????????????????18接收机-干扰灵敏度?????????????????????????????????????18 RF频谱环境仿真???????????????????????????????????????19使用任意波形发生器(AWG)生成调制信号????????????????????????????19-25生成基带I-Q信号??????????????????????????????????????19 IF生成???????????????????????????????????????????20 RF生成???????????????????????????????????????????21编译复合信号????????????????????????????????????????23回绕式考虑?????????????????????????????????????????24展望??????????????????????????????????????????????26

https://www.sodocs.net/doc/0f12313192.html,/signal_generators 3

使用任意波形发生器创建无线信号

入门手册

图1. Tektronix AWG 系列简化方框图揭示了一个可变时钟控

制任意波形,采样速率达20 GS/s

摘要

任意波形发生器(AWG)已经在商业上应用数年并在性能上不断得到改进。先进的任意波形发生器如最新的Tektronix AWG系列可以支持今天最复杂的无线测试信号。现代AWG可以产生基带、IF和RF频带激励信号以高效率地测试无线器件和系统性能。20 GS/s,10位深度分辨率的AWG7000可以适用在极端的应用环境当中,如超宽带(UWB)无线电设计。类似的,14位深度分辨率AWG5000可以适合在高动态范围的窄带应用。当合成复合数字无线调制时,任意波形发生器的独特价值得以快速显现。

在此入门介绍中,我们探讨推进数字无线革新的技术,一些通用的AWG无线测试应用以及如何从任意波形发生器得到更好特性的重要思路。简介

近年来无线设备行业成长迅速并产生了许多新型无线设备。行业发展迅猛的重要原因在于RF半导体的发展和数字调制技术的广泛应用。如今的数字无线信号对合成测试信号提出一系列新的挑战。由于行业重点向数字无线技术转移,使得调制波形复杂度极速增加。幸运的是AWG性能稳定上升能够灵活有效地产生相当复杂的测试激励信号。AWG的原理很简单,一个可变频率时钟步进跟随一个任意波形的预存储数字表达,然后被转换为模拟信号。

尽管概念简单,但在每次测试应用中为获得AWG的最佳性能,各种重要思路是必要的。为了理解如何得到AWG的最佳性能,我们首先回溯RF传输信道,说明为什么复合数字调制受人欢迎。

4 https://www.sodocs.net/doc/0f12313192.html,/signal_generators

使用任意波形发生器创建无线信号

入门手册

此入门简介参考了一些普通无线测试案例,随后是AWG 在基带、IF和RF应用中的重要思路。最后是RF测试中AWG应用的前景展望总结。

无线应用程序和数字

调制

由于现在多数复杂射频调制波形具有预期特性,因此被选用来抗击无线传输通道。介于传输器和接收器之间,该信号必须通过的的传输通道媒介由分配给信号的射频通道频带宽度界定。

无线传输的挑战

通过无线通道的传输和接收电磁辐射可能面临着挑战。传输通道通常会大大削减被传输信号,同时其噪音和传播特性会彻底扭曲传输波形。从无线电到雷达的无线应用程序跨越了广泛的通道类型。无线信号传播依赖于传输信号的频率和带宽,以及附近的反射和吸收物体。大气和电离层等客观条件也会在传输信号达到接收终端时使其发生较大改变。

为了达到使用性的目的,调制传输信号在通过接受器时,受到严重路径减损后必须是可恢复的。比如1瓦(+30 dBm)传输信号可能会在其达到接收器的同时被削减到其最初振幅 (-90 dBm) 的一万亿分之一。如果存在多路经,那么通过传输带宽的衰减就不可能一致。通道的衰减和迟滞还可能随着接收器的物理运动而有所波动。

最近几年,宽带信号因其能够抗击波动通道获得了普及。超宽带调制技术能够通过填充有多路经的,分散的传输通道传递高速数据。然而,许多测试信号发生器完全不能生成超宽带信号所需要的宽的带宽和成就一个重要的发展及测试障碍。

在深入探讨超宽带挑战和生成技术之前,让我们先看一看数字调制是什么以及为什么它们如此普遍。

图2 不使用任意波形发生器时,图中所示的64 QAM 信号包含波形的复合调制将难以合成图 3. 无线信号经受各种会严重改变传输信号的通道作用。为提高接收效果,复杂数字调制会起到举足轻重的作用。

https://www.sodocs.net/doc/0f12313192.html,/signal_generators 5

使用任意波形发生器创建无线信号入门手册

为什么会有数字调制?

调幅(AM),调频 (FM) 和调相 (PM) 在数字调制日趋普遍之前被广泛采用模拟调变。模拟调变的首要问题是传输信号是不予以编码的。

模拟信号完全被调制并依附于一个高频射频载体上,其将通过传输通道进行传播。例如,一个扩音器的模拟电压是被直接调制到一个广播射频载体上的典型调频。

由于没有编码,在接收器解调信号后,达到的模拟信号强度与噪音强度的比率(S/N or SNR) 取决于射频载体的信号强度的作用。

完成具有广泛动态范围的静态自由传输—因此被解调噪音程度非常小,被比成是所需信号的振幅—需要非常高的射频S/N比率。由于传输通道的背景热力噪音动力光谱密度通常固定在-174 dBm/Hz,因此唯一提高模拟调制接收质量的方法就是传输较高动力水平,使用较大和较多的方向天线,或者在较宽的带宽上进行调制。这些模拟调制局限会限制能够通过传输通道实现的动态范围性能。

然而,唯一能够传送低射频S/N比率的高动态范围的只有数字调制。

数字调制通过把即将发送的信号编码成另一个要求低射频S/N比率的形式,以便成功接收。比如,

扩音器的一图4.模拟信号需要高的射频S/N比率以便在解调后仍是高的S/N比率。数字调制在给低射频S/N比率解调加入一点精密度后能够达到非常高的S/N比率。

个电压能够被数字化并被编码成一个8位字。然后每一位被使用简单的二进位判断法来准确确定正确字节,并以较低射频S/N比率传送出去。当所有字节在接收器中被重新合成一个8位字时,一个48 dB动态范围就产生了,即使射频通道可能仅有25 dB的S/N比率。接受器末端的信号保真度多数情况下是固定的,大于数字调制可接受的字节错误率所需的最小S/N比率。

这些特性使得数字调制在遭受传输通道的严重损害后,不仅可以消除静态噪音,还提供了优异的通信可靠性。

6 https://www.sodocs.net/doc/0f12313192.html,/signal_generators

https://www.sodocs.net/doc/0f12313192.html,/signal_generators 7

使用任意波形发生器创建无线信号

入门手册

图5. 在1个RSA3408A 实时光谱分析机(RTSA)上抓取的无线

电话的传输显示了两种频率、两种程度的FSK 信号及恢复特征。

数字调制通常还具有许多优于模拟调制的其他优点。其中一个优点就是其使得数字模拟的普遍使用直接来自于使用的数字信号处理器(DSP)以生成调制。现在大部分数字调制都是由某种数字电路形式的DSP技术产生的,例如现场可编程门阵列(FPGA),或者专门的DSP 微处理器。

相比较许多模拟电路,数字电路更加稳定,不会随着温度变化而变化。数字电路的稳定,精确和可靠减少了温度模拟设计和手动电路调整,节省了大量的生产劳力。这不仅节约了成本,而且还推动了可行性技术的发展。同模拟调制相比,数字调制通常被使用者称赞是大大减小了波谱带宽,其实不尽然。许多当下流行的数字调制大体上并非比流行模拟调制更具带宽有效性。然而,由于数字数据在被传送到调制器之前能够被压缩,因此固定的信息带宽缩减通常是可以的。所以,数字信号压缩技术和一个数字调制器的混用通常达到所需射频带宽数量的3:1的缩减,以便传送多种类型的无线数据。为更好理解数字调制的带宽特性和了解AWG对于生成复杂波形的举足轻重的作用。让我们现在思考一下用来传送二进位‘1’和‘0’?的波形。什么是数字调制?

数字调制同模拟调制,各种射频振幅,频率或相位类似,但是通过数字调制,被调制参数可呈现出一特定波形状态或特征。相反,模拟调制由于振幅、频率或相位而发生不断变化。

简单的数字调制例如幅移键控(OOK),双移频键控(FSK),或二相相移键控(BPSK)仅使用两种状态就表示

出了二进位编码数据。通过这些简单的双状态调制,每一编码状态或特征就代表了二进位1或者0。OOK, FSK 和BPSK 提供良好的动力效率,并能够被以S/N 比率可靠接收。然而,这些调制都具有很差的带宽效率,这就意味着对于一个给定的数据比率(bits/s),射频传输通道带宽是调制后所必需的。相比之下,动力效率调制在有限的通道带宽内,达不到非常高的数据比率。

容易看到的一个现象就是把带宽效率平面上这些简单调制的动力效率当成给定比特误差率(BER)的其他调制。2FSK 和 BPSK的低Eb/No 或 S/N比率使得它们在接收动力受到限制的地方能引起注意。

使用任意波形发生器创建无线信号

入门手册

图6. 带宽效率平面比较给定比特误差率(BER)的不同数字调制特性。简单动力效率调制使用低Eb/No 或 S/N比率。带宽效率调制需要较大Eb/No,生成并将较高比特比率压缩成较小的射频光谱也更加复杂。

有限的波谱资源较之动力效率,通常更偏向于带宽效率。无线电光谱是一个细致整合和共享的资源。因此,大部分无线传输通道大大限制了每个用户可用射频带宽的数量。致使其偏向于能够通过可用通道带宽更快获得更多字节的带宽效率调制。

为增强带有固定带宽分配的数据链接性能,有较高Eb/ No or S/N比率要求的更高一级的复杂性带宽效率调制日渐普及。因此需要测试大部分数字数据链接的波形不断变得越加复杂,制造商尽量提供有限的有效射频带宽的最大程度的数据链接性能。复杂调制普遍使用相位(I)和矩(Q, 90°) 正弦和余弦波形加在一起的正交调幅(QAM)。借助将这些信号加在一块的相关振幅,相位复数矢量能够被放置在的星座符号点。通常随着两个动力符号点的增加,每个符号点传送的字节数量显示也会越多。

最简单的正交调幅(QAM)调制是QPSK,其使BPSK的带宽效率加倍。QPSK也因其出色的动力效率变得非常普遍。4个符号点的每个被发送的QPSK符号点代表两个数据字节,每个发送符号被比成是BPSK的单一字节。

数字调制如QPSK需要被传送到调制器的时域脉冲的细致的基带过滤。一旦数据字节被编码成符号,矩形脉冲必须要被过滤以减小调制信号所需的射频光谱。

基带符号脉冲的过滤通过一种特殊的滤波器形状实现。最常见的是升余弦滤波器——由余弦波Y轴正半轴部分组成。升余弦波滤波器的特点是它们的时域脉冲响应会周期性归零 (Null),即零振幅。谨慎的选择计时就可以使这些零 (Null) 阻止脉冲串的能量扩散到符号采样时间内的相邻脉冲中。因此就消除了符号间干扰 (ISI)。

数字设备硬件中常用的是DSP算法,通过调整升余弦滤波器形状来修正RF频谱。升余弦滤波器的形状由α参数决定。α值越低,滤波器裙部下降部分就越陡。随着α值变小,RF频谱缩小。但是,α值变小,符号点之间的调制矢量的轨迹越来越大量的过冲冲出符号星座图

8 https://www.sodocs.net/doc/0f12313192.html,/signal_generators

https://www.sodocs.net/doc/0f12313192.html,/signal_generators 9

使用任意波形发生器创建无线信号

入门手册

图9. 利用RSA3408A 实时频谱分析仪测量到的GSM 蜂窝信号是一个恒定信号振幅的GMSK 调制信息。与QPSK 精确定义4个符号点不同,GMSK 的高斯滤波会引起符号间干扰。

图7. 为了限制时域符号脉冲中的RF 带宽,升余弦滤波器利用包含周期性零振幅的期望响应消除符号间干扰。但是,随着滤波器形状因子的改变,星座图的矢量轨迹也会改变。

图8. 利用RSA6114A 可以很容易检测Tektronix 任意波形发生器产生的QPSK 信号的通道功率和星座图过冲

利用任意波形发生器生成数字调制信号时,正确的滤波器α值和充足的发生器动态范围都需要被认真考虑。一些调制,如GMSK,不采用升余弦滤波器,而是采用高斯滤波器波形,因此可以使星座图相量图移动最小,由此实现恒定的调制振幅包络线。高斯滤波不允许一些符号间干扰,导致在每个象限中都有一行符号点。同样,在生成数字调制信号时,利用适当的基带滤波器编辑波形非常重要。

为进一步提高带宽效率,可在QAM 星座图中增加更多的符号点。除了QPSK的4个符号点,8PSK(3位/符号)、16QAM(4位/符号)、32QAM(5位/符号)、64QAM(6位/符号)、128QAM(7位/符号)和256QAM(8位/符号)都很常见。

的边界。RF 带宽和星座图过冲之间的平衡是一个重要的工程因素,因为这将影响发射机功率放大器和天线的大小。

10 https://www.sodocs.net/doc/0f12313192.html,/signal_generators

使用任意波形发生器创建无线信号

入门手册

图10. 一个正确生成的16QAM 信号具有适当的RF 频谱下降部分和星座图过冲。表1. 通过选择不同的数字调制,给出了针对10-6比特误码率的不同理论信噪比和带宽要求。

鉴于调制复杂度不断增加,越来越难于生成正确的数字符号星座图。信噪比的上升、相位噪声、数模转换器精度、放大器线性度以及其他因素,制约了高阶调制的应用。因此,512QAM 和1024QAM很到在量产系统中得到应用。

对复杂QAM 调制,一些方法可以降低信噪比要求并提供滤波折中方案。例如,正交局部响应采用受控符号间干扰(Controlled ISI),允许调制信号更高效的滤波。通过编码符号点,网格编码调制(TCM)可以有效改善星座图中符号点的欧式距离,在下一个符号状态间避开某些相邻符号。同样,块码调制(BCM)和多级编码调制(MLCM)利用符号图编码改进QAM星座点的有效距离。

总之,符号编码技术可降低调制对星座图几何误差的敏感度。

为星座图中的符号添加编码会进一步增大生成测试信号的复杂度。不仅QAM 星座图需要正确的映射,在某些时间还不允许出现某些符号。

以正交频分复用技术(OFDM)为代表的多载波技术的实际应用,使现代DSP 处理器硬件把调制技术推上了一个新台阶。OFDM在多近空间载波中应用一个和多个曾经讨论过的单载波数字调制,把它们合成到一个信号中。正确的构建频率空间,每个载波上的符号都正交并可以防止符号间干扰。相对于单载波调制,OFDM由于降低了单个载波符号率且多个符号同时发送,因此可以非常有效地克服通道多重路径影响。

https://www.sodocs.net/doc/0f12313192.html,/signal_generators 11

使用任意波形发生器创建无线信号

入门手册

图11. 这个频谱图中有2个共扩频信号。黄色的跳频信号是蓝牙FHSS传输,而浅绿色的恒定中心频率信号是WLAN DSSS 传输。红线是由于微波炉的RF 辐射泄漏造成的。

通过动态改变调制方式以更适应常见的通道环境,许多无线数据链路可以进一步优化传输速率。例如无线局域网(WLAN)调制器可以随时改变调制类型和数据传输速率,以适应当时的通道特性。如果接收机信噪比能够满足64QAM 要求,那么就采用这种调制方式。随着信噪比的下降,则选择那些对信噪比要求更低的调制方式,如QPSK。

生成动态改变调制是一个挑战性问题。任意波形发生器可以储存包含几个调制的复杂波形,对于测试随时改变调制方式的调制解调器具有很大价值。

调制技术的另一个趋势是:采用能够提供比调制要求更大带宽的扩频信号。频谱扩展具有很多优势:良好的多重路径性能、利用码分复用技术使多个信号共享相同频带的能力、更好的干扰抑制。

由于使信号跳跃出了预先设定的一系列频率,跳频扩频技术(FHSS)增加了调制信号的复杂度。目前有2种跳频器:每个跳频传送多个符号的慢速跳频器,每个符号多次跳频的快速跳频器。每种FHSS系统一般都利用QAM 调制(经常是QPSK),采用伪随机数序列扩频。直接序列扩频(DSSS)是另一种常见的扩展调制信号的技术。DSSS利用伪随机数序列相位调制被调制信号(经常是QPSK),从而扩展频谱。扩展被调制信号的每个伪随机数代表一个扩频码片。DSSS 码片速率(chip rate)可以非常高,因此可以在更大的带宽上扩展频谱。

与FHSS 一样,DSSS 需要更大带宽信号源以生成测试接收机性能所需的信号。

12 https://www.sodocs.net/doc/0f12313192.html,/signal_generators

使用任意波形发生器创建无线信号

入门手册

图12. AWG700具有足够的带宽可以生成多数超宽带信号,如超宽带雷达脉冲。

超宽带 (UWB) 技术也需要极大的测试信号带宽。超宽带发射接收机使用宽频谱,对多重路径就有很好的抵抗能力,因此在充满反射的室内环境应用中备受青睐。通过在一个宽频谱范围内扩展一系列窄脉冲,超宽带无线链路支持更高的数据传输速率。

同样,用于改进目标分辨率的压缩雷达脉冲一般也需要大带宽。脉冲压缩利用RF脉冲调制隔离重叠雷达回波。(相关讨论请参见Tektronix应用笔记《利用实时频谱分析仪的雷达脉冲测量》)。同脉冲压缩调制常常啁啾FHSS 和DSSS 信号。

频率啁啾、FHSS 和BPSK DSSS 调制是压缩脉冲的常见方法,用于改进目标间的空间分辨率。被调制压缩脉冲的带宽直接关系到空间分辨率。如果没有大带宽任意波形发生器,那么只有借助于昂贵的定制RF 测试设备才能够生成这些高难度的信号和它们的劣化回波。革命性的数字调制技术不仅可以用于无线通信设备,还能使压缩脉冲雷达受益。数字调制应用

得益于低成本数字信号处理硬件和软件无线电(SDR)工具,数字调制技术的优势使之迅速在无线遥感、通信和雷达系统领域得到广泛应用。只有最简单的系统或古董级的无线电应用还采用模拟调制技术。

测试信号的生成由此成为数字无线系统测试方面的首要问题。众所周知,数字无线调制使信号的复杂程度增加,并且需要极大的带宽。下面看看任意波形发生器在测试无线系统和设备上的应用。数字无线测试

数字无线数据链路一般需要各种调制波形进行开发性评测和生产性检验。调制信号是评估元件和系统性能的常用最佳测试激励源。

极具灵活性的任意波形发生器是生成各种测试信号的首选工具。这些信号将用于无线设计中的高效验证。

使用任意波形发生器创建无线信号

入门手册

表3:Tektronix AWG5000和AWG7000系列信号发生器提供了适于多数无线应用的多种功能和选项。

表2:展示了在一些常见的应用中,用于设备测试的一系列复杂的数字信号。如果没有任意波形发生器,几乎很难生成这些信号以及它们的劣化信号。

AWG (任意波形发生器) 既能生成理想信号来测试基本电路功能,也可产生劣化信号以测试不良传输通路环境中的性能。下面通过一些常用的AWG测试案例进行说明?

发射机-IQ调制器测试

调制解调器工程师特别关注调制器的性能,因为这是特定调制实现理论性能的关键。

许多数字无线设计中采用模拟IQ矢量调制器,但这会产生许多问题。

正交误差、IQ增益不平衡、非线性度和一些其他问题使这些元件会造成符号星座图失真,进而损害数据链路性能。数字调制信噪比(如前面所示)是理论性能极限。带有失真的不良符号星座图需要更高的信噪比,也就是更短的数据链路传输距离。

测试矢量调制器需要2个模拟输入,一个用于I-通道,一个用于Q-通道。I和Q通道之间需要完全同步并滤波,以生成优质的调制IF信号。一旦输入激励源被正确地引入矢量调制器,就可以利用实时频谱分析仪方便地测试其性能特性。

https://www.sodocs.net/doc/0f12313192.html,/signal_generators 13

14 https://www.sodocs.net/doc/0f12313192.html,/signal_generators

使用任意波形发生器创建无线信号

入门手册

图14: IF滤波器从有用信号频谱中滤除干扰。但IF滤波器还是接收信号中无用群延时失真的“罪魁祸首”。任意波形发生器可以很容易地产生各种测试频谱,用于评估接收信号的干扰抑制和失真之间的关系。

图13:高带宽和高频数字无线应用常常使用模拟矢量调制器。任意波形发生器的精密时间相关IQ输出非常适于测试这些元件或生成高频调制信号。

把任意波形发生器置于IQ 输出模式,就能够生成所需的测试激励源,用以挑选最适合数字链路的调制器。通过设置用于驱动调制器的2个输出信号的相位,可以实现通道间优异的相位同步性和振幅匹配性。调制后测量到的弱化现象都是由矢量调制器内部的延迟、损耗或非线性度造成的。

任意波形发生器存储了许多波形,因此可以在编辑测试激励源波形时方便的调整基带滤波。由于利用不同滤波器alpha存储各种波形,工程师可以快速评估在不同环境中各个矢量调制器的频谱性能。这非常重要,因为调制器的频谱再生随驱动电平而变化并且叠加到滤波后的

基带频谱中。利用任意波形发生器生成的多个测试波形,可以方便地选出满足频谱调整要求的调制器驱动电平和滤波器alpha 的最佳组合

IF 滤波器效能和劣化测试在无线数据链路设计中,IF滤波器通常被加入发射机和接收机中以解决频谱问题。

IF滤波器清除不需要的内部频变产物,去除外部源对有用信号的干扰,以及增补有限的或周期性的数字滤波器响应。也就是说,IF滤波器可以使有用调制频谱更陡峭、更锐化、更狭窄,即更好!

https://www.sodocs.net/doc/0f12313192.html,/signal_generators 15

使用任意波形发生器创建无线信号

入门手册

但是,IF滤波器同时增加了群延时,造成有用带内信号失真。为了使有用信号失真达到最小,滤波器需要更宽带宽、带外衰减更平滑。

作为必备的工程技能,选择IF滤波器就是平衡考虑抑制干扰和保持带内信号性能的关系。复杂数字调制和IF必须支持多种调制类型,因此很难确定最佳滤波器。任意波形滤波器可以简化IF滤波器的选择,工程师利用它可方便地生成现实中最糟糕的信号场景,进而判断滤波器的效能和劣化作用。

首先合成有用数字调制信号,再利用数字技术在有用信号中加入适当级别的邻频干扰信号和寄生信号。随后,把这个组合的多载波波形存入任意波形发生器存储器中并重现出来,使之通过IF 滤波器。经过IF 滤波器后的输入频谱的振幅衰减可以很容易的测量出来,用于判定滤波器的效能。

通过比较滤波前后误差矢量幅度(Error Vector Magnitude),有用信号的劣化就可得到量化。误差矢量幅度是实时频谱分析仪或矢量信号分析仪上通行的调制质量测量方法,通过比较基准数字调制信号和实测调制信号实现。两个信号之间误差矢量幅度就是有用信号失真劣化程度的测量值。

任意波形发生器和实时频谱分析仪的综合运用为那些难于测试的元件(如IF滤波器)提供了复杂激励-响应测试方法。通过测量有用信号的干扰衰减和组合误差矢量幅度,有助于工程师在干扰防护和有用信号性能损失之间作出更加科学的选择。

图15:非线性度,如AM/AM 和 AM/PM,可能造成符号星座图失真,从而产生误差。而要精确的描述这些非线性度常常需要借助于一个调制测试信号。

发射机-RF 功率放大器线性度

复杂激励-响应方法近年来广泛应用的另一个领域就是功率放大器非线性度测量。

正交调制对于功率放大器的非线性度很敏感。功率放大器的非线性度可造成调制信号中的大矢量振幅失真,进而引发非预期的附加调制。调幅-调幅变换(AM/AM),以及对大多数数字调制更为重要的调幅-调相变换(AM/PM),可以由于传送符号星座图失真而造成符号误码。

使用任意波形发生器创建无线信号

入门手册

图16:利用Tektronix任意波形发生器产生的数字调制信号,可以在RSA3408A上精确地测量出功率放大器的动态线性度。

传统的做法是:在放大器的输入端口施加一个正弦波激励源,利用矢量网络分析仪观测输出端口的振幅和相位响应,从而方便地测量AM/AM和AM/PM。美中不足的是,在许多应用中,利用这种方法取得的测量结果不够精确。

许多功率放大器设计中常用的耦合和去耦电容器以及晶体管热效应,会产生一个调制“记忆效应”——动态改变AM/AM和AM/PM。因此,在实际的非线性度测量中(如多载波功率放大器系统的数字预失真),必须利用调制信号而不是静态正弦波测量AM/AM和AM/PM。

在任意波形放大器中存入一个数据链路中实际信号的复杂数字调制波形,以此作为测试的RF激励源。利用已经安装专用的线性度测量软件的实时频谱分析仪,通过测量动态AM/AM和AM/PM,从而检测由功率放大器所造成的任意波形发生器信号的失真。

任意波形发生器在测试发射机组件(如矢量调制器、IF 滤波器和功率放大器)中可以发挥重要作用。

下面看看任意波形发生器在数据链路接收机端测试中的作用。

接收机-中频解调器测试

数字解调器开发中需要各种测试激励源以描述其性能。

数字信号载波的捕获时间、接收信号的允许频偏、对于特定比特误码率(BER)所需的信噪比和载波噪声比测量,这些都是家常便饭。

解调器测试通常需要一个采用数字调制信号的中频激励源。

利用一个无劣化数字调制信号可以方便地测量载波捕获时间,但载波偏置测量会因为中心频率的微小改变而使正确信号劣化。

利用一个加入噪声的数字调制波形可以测量载波噪声比。通过调整加入调制波形中的噪声量,同时观察比特误码率,能够量化描述解调器的门限性能。

除了在解调器性能测试中生成劣化信号,任意波形发生器常用于功能测试。许多解调器的综合误差对开关天线或调制类型敏感

只需利用任意波形发生器产生一系列波形序列,就可以迅速地测试这些特征。任意波形发生器具有多种事件标记输出。这些标记在波形改变以使解调器响应与测试序列中特定波形相关联时被触发。

16 https://www.sodocs.net/doc/0f12313192.html,/signal_generators

https://www.sodocs.net/doc/0f12313192.html,/signal_generators 17

使用任意波形发生器创建无线信号

入门手册

图18:比特误码率是衡量接收信号级别的重要函数,它随噪

声和失真而变化。由于信号源常常产生一些噪声和失真,因此只有利用实际的发射机才能够得到残余比特误码率的精确测量结果。利用Tektronix 的任意波形发生器可以精确测量门限比特误码率(受接收机噪声限制)和过载比特误码率(受接收机失真限制)

图17:对于一个给定比特误码率的门限载波噪声比和误差矢量幅度调制测量值,显示出QPSK 解调器与理论性能的接近程度。

AWG7000具有极高的采样率,而AWG5000则具有大动态范围。因此IF信号可以利用它们直接生成,而不必借助外部调制器。

接收机-RF 功能性测试与中频解调器测试相似,对于许多无线电应用来说,任意波形发生器所具有的极高采样率和垂直分辨率使其能够直接产生RF信号。利用两个数模转换器的插值技术,AWG7000在某些配置下可以达到20GS/s的采样率,由此可以以4倍过采样率生成5GHz的RF信号。AWG7000可以直接调制RF 信号,实现接收机基本功能测试。利用附加的衰减器和比特误码率测试仪(BERT),任意波形发生器可以测试接收机门限。门限测试是检验大部分接收机能否正常工作的有效方法。在门限测试时,任意波形发生器的存储器中需要存入调制信号波形。随后振幅变化到门限水平,同时测量比特误码率,以确

定该设备能否通过测试。利用任意波形发生器进行比特

误码率测试时需要特别注意。只有在接近接收机门限或接收机过载时,才能利用任意波形发生器进行接收机比特误码率精确测量。这是为什么?

在门限处,由于随机噪声在有用信号中占主导地位,因此产生了符号误码。任意波形发生器能够很容易地重现这种噪声主导信号,因此可以精确地测量接收机的比特误码率特性。同样,在过载处,接收机前端(主要是一级混频器)中的过驱动组件会造成符号误码。所以利用任意波形发生器,通过过驱动接收机就可以得到接收机过载比特误码率的精确测量结果。

但是,残余比特误码率误码本底的产生机理与门限和过载不同。在通常的工作信号范围内,发射机和接收机的组合相位噪声以及功率放大器的非线性度主导了误码的产生机理。由于任意波形发生器不能生成与发射机相同的相位噪声和非线性度,因此残余比特误码率本底中测量的比特误码率与实际发射机中测量的不同。

18 https://www.sodocs.net/doc/0f12313192.html,/signal_generators

使用任意波形发生器创建无线信号

入门手册

图19:这个DPX频谱显示一个近端膝上电脑的较强信号和远程接入点WLAN 交换机的较弱红信号。多重路径失真造成的接入点处频谱缺口和凹陷清晰可见。

任意波形发生器的一个常见应用是,生成用于接收机门限测试中频谱“平”衰落的RF 信号,但是任意波形发生器还具有更多用途。

接收机-均衡器性能评估

传输通道造成调制信号失真是由于更为复杂原因,而不仅仅是平吸收衰落。多重路径衰落具有多种形式,如Rician衰落-强视线信号主导接收能量,Rayliegh衰落-接收信号由所有散射信号构成但不存在视线信号。接收信号还可能含有弥散性频率选择性衰落-某个频谱频率缺口超出了信号。现有多种通道模型用来描述许多可能的失真-传输通道损伤接收信号。

如果信号被通道损伤,那么任意波形发生器在任意波形编程方面的灵活性对于测试接收机性能就没有任何意义。工作在多重路径环境中的数字无线接收机一般都配备一些类型的传输通道均衡器。

鉴于传输通道会随着大气扰动和天线位置的改变而变化,因此测试均衡器的实际效能是一个挑战。一系列包含视线和多重路径成分的组合信号被储存到任意波形发生器的存储器中。随后,这个劣化信号可以用于测试不同通道均衡器的效能。

接收机-干扰敏感性

利用任意波形发生器还可以测试接收机对干扰的耐受力。干扰门限(T/I)测试用于测量接收机对于干扰引起退化的敏感性限度。T/I 测试的难点在于:干扰敏感度必须在有用信号的不同频偏和不同功率电平下测量。任意波形发生器能够存储各种干扰并且把它们的信号叠加到有用RF 信号中,这点对于T/I 性能测试极其有用。随着无线频谱的不断拥挤,许多设备的干扰测试变得非常重要。

使用任意波形发生器创建无线信号

入门手册

RF频谱环境仿真

与干扰测试类似,越来越多的数字无线应用开始进行整个RF环境或频带的仿真。例如,战舰离港前需要测试它的RF接收机系统。情报官员需要训练无线电操作员如何搜索可用信号的频带。超宽带无线(UWB)设计人员需要利用宽带干扰源测试数据链路。所有这些都需要在巨大的带宽上生成复杂的频谱环境。

利用多个传统的信号发生器构建整个RF频谱环境成本极高。但是,一台AWG7000就可以仿真或重现高达5GHz的RF信号频谱。此外,任意波形发生器的频谱输出可以任意改变,以模仿各种不同的频谱环境。 

如果需要高保真频谱环境来模拟大信号强度差异,那么可以把几台AWG5000与外部上变频器连接。利用多台AWG5000能够覆盖与AWG7000相同的带宽,尽管会加大成本,但却可以把动态范围提高到24dB。

此外,一台4个输出通道的AWG5014就可以产生相当可观的频谱,成本远远低于利用十多台传统信号发生器合成频谱环境的方法。使用任意波形发生器生成调制信号

正如我们前边的无线测试实例所看到的,使用AWG生成测试信号有3种基本方法:生成基带I-Q、中频信号和直接射频信号。在下面的章节里,我们将探讨每种方法的优劣,以及它们是如何将任意波形编辑进入AWG 内存的。这样在对于在AWG的限制条件下根据不同的应用,如何选择最适合的方法会有一个基本的了解。并深入理解如何为每一种应用选择最适合的AWG。

生成基带I-Q信号

利用AWG生成基带I-Q信号不仅仅是拥有2个输出来驱动调制器,同时还提供了其他优势。相对于大多数调制带宽,AWG宽广的带宽还支持过采样。

AWG的DAC输出端会产生一些量化噪声。因为当目标信号通过离散量化阶重建时,每个量化阶不可能都精确的表示所需幅值,这样就产生了量化噪声。

对于许多场合,有一个减小量化噪声的简单方法,就是选择象AWG5000系列这样的任意波形发生器,用许多位来表示每个取样信号。基带应用中,具有14位分辨率的AWG5000系列通常足以防止噪声本底带来的问题。

https://www.sodocs.net/doc/0f12313192.html,/signal_generators 19

使用任意波形发生器创建无线信号入门手册

图20. 以高于奈奎斯特率许多倍来对信号过取样,可以使数模转换器之后的滤波器更加精确而平均地输出噪声电平,减小量化噪声。

另一个减小量化噪声本底的方法是使用过取样技术。如果以奈奎斯特率或2倍于目标信号最高频率对信号进行取样,那么它产生的量化噪声会达到最大。以高于奈奎斯特率的频率对信号过取样,则降低量化噪声。这是因为DAC后面的滤波器平均化了过取样信号,从而使其更接近所需的真实信号电平。

经由过取样来减小量化噪声的方法增加了动态范围。因为基带I-Q信号可能处于最低频率,那就可能达到最大程度的过取样,因此I-Q调制方法在动态范围上具有明显的优势。

对于要求最大程度调制动态范围的应用而言,使用具有高的过采样率和外部I-Q调制器的AWG是非常有优势的。对于超出AWG5000系列带宽能力的宽带信号,可以使用AWG7000系列和过采样技术来达到良好的噪声本底。用基带I-Q方法生成数字调制的不足在于它需要一个外部模拟向量调制器,而这样会引入各种不良的星群失真。

生成中频信号

为了避免使用外部调制器,直接中频合成的方法也许更为可取。它允许建造数字I-Q调制信号,并使之进入一单调制中频输出端。这样就避免了外部模拟向量调制器引入的潜在破坏性失真。

将I通道和Q通道数字叠加就得到在理想符号定时下的卓越的I-Q相位和幅度匹配。

许多中频合成都能保证在AWG的频率范围内。具有1.2GS/s取样率的AWG5000系列可对70MHz 中频以14位动态范围进行17倍的过取样,其量化噪声本底接近-174 dBm/Hz的热噪声本底。而有着20GS/s的AWG7000系列可对窄带70MHz 中频进行285倍的过取样,对500MHz 中频进行40倍的过取样。基于这一特性,甚至对于流行的500MHz这样的宽带中频都可以显著降低其噪声。

中频信号生成方法的不足是,较高的取样率会更快的消耗AWG的可用内存。一旦生成,中频信号便可上变频为射频,用于接收机测试。若使用了外部上变频器,那么射频频率只受变频器的频率范围限制。将中频信号上变频为射频,额外增加了外部组件的复杂性。而直接生成射频信号的方法无需外部组件,在AWG资源充足的情况下,它对于射频测试是更优越的。

20 https://www.sodocs.net/doc/0f12313192.html,/signal_generators

两款函数任意波形发生器产品简介

是德科技 30 MHz 函数/任意波形发生器 33521A 单通道函数/任意波形发生器 33522A 双通道函数/任意波形发生器 技术资料 ?????????????????? ?????????????????? ???? (alias-protected) ?????? ??

33500 系列函数/任意波形发生器 实现更出色的精度和灵活性?わょ??????????????????わ???????????????????????????? Keysight 33500 ????/??????????????????????????????????????????????????⒔????? 10 ???????????????????????????????????? 主要特性 —30 MHz ??????? ??????????? —???? 40 ps???????? 0.04%???????????—250 MSa/s ???? 16 ??? ????????????????? —????????????????????????????????? —??? 33522A ?????勚??????ㄩ? —?㈨ 1 MSa ??▌╈????㈨ 16 MSa ▌╈???▌╈???? ???? —?? LXI C ??? —????????????? TFT ?????????????????????????? —??? BenchL ink Waveform Builder Pro ????????????信号保真度 ???????????????? ??????????????? ??????????????? ??????????????? ????? 33500 ????/??? ??????????????? ??????? 40 ps ?⒔??? ???/??????? 10 ???? ??????????? 16 ??? ???? 0.04% ???????? ▕ 250 MSa/s (16 ?) ??????? ????????????▌╈?? ????????????⒋??? ???????????????? ???????????? 灵活的信号生成 33521A ? 33522A ???????? ??????????????? ? (DTMF) ????? 33522A ??? ?????????????ㄩ?? ???????勚???????? ??????????????(? ???????) ??????⒋? ???????????????? ???????????⒋??? 逐点波形 33500??????????? ???????????? (alias- protected) ?????????? ?????????????? ???33521A ? 33522A ??? ? 30 MHz ???????⒋?? ??????????????? ??????????????? ???????????????? ??????????????? ???????????????? ????????? 用户界面 ????????????? TFT ? ???????????????? ???????????????? ?????? 33500 ?????? LXI C ??????? USB 2.0 ? 10/100 Base-T ???????????㎡? ???? PC ?????????? ???????????????? ?? GPIB ????????? 可选 33503A BenchLink Waveform Builder Pro 软件 Benchlink Waveform Builder Pro ? ??????????????? ??????????????? ??? Microsoft Windows ???? ???????????????? ???????????????? ??????????????? ???????????????? ?╖????????㎡???? ??????????????? ??????????????? BenchLink Waveform Builder Pro? ???????????????? ???????????????? ?????╱????????? ㎡??????????????? ??????????????? ??? 30 ??????????? https://www.sodocs.net/doc/0f12313192.html,/? nd/33503

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

利用Labview实现任意波形发生器的设计

沈阳理工大学课程设计专用纸No I

1 引言 波形发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。可见,为适应现代电子技术的不断发展和市场需求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。 波形发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL),直接数字合成技术(DDS)。 传统的波形发生器一般基于模拟技术。它首先生成一定频率的正弦信号,然后再对这个正弦信号进行处理,从而输出其他波形信号。早期的信号发生器大都采用谐振法,后来出现采用锁相环等频率合成技术的波形发生器。但基于模拟技术的传统波形发生器能生成的信号类型比较有限,一般只能生成正弦波、方波、三角波等少数的规则波形信号。随着待测设备的种类越来越丰富,测试用的激励信号也越来越复杂,传统波形发生器已经不能满足这些测试需要,任意波形发生器(AWG)就是在这种情况下,为满足众多领域对于复杂的、可由用户自定义波形的测试信号的日益增长的需要而诞生的。随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器输出频率较低。目前的任意波形发生器普遍采用DDS(直接数字频率合成)技术。基于DDS技术的任意波形发生器(AWG)利用高速存储器作为查找表,通过高速D/A转换器对存储器的波形进行合成。它不仅可以产生正弦波、方波、三角波和锯齿波等规则波形,而且还可以通过上位机编辑,产生真正意义上的任意波形。

基于51单片机的波形发生器的设计讲解

目录 1 引言 (1) 1.1 题目要求及分析 (1) 1.1.1 示意图 (1) 1.2 设计要求 (1) 2 波形发生器系统设计方案 (2) 2.1 方案的设计思路 (2) 2.2 设计框图及系统介绍 (2) 2.3 选择合适的设计方案 (2) 3 主要硬件电路及器件介绍 (4) 3.1 80C51单片机 (4) 3.2 DAC0832 (5) 3.3 数码显示管 (6) 4 系统的硬件设计 (8) 4.1 硬件原理框图 (8) 4.2 89C51系统设计 (8) 4.3 时钟电路 (9) 4.4 复位电路 (9) 4.5 键盘接口电路 (10) 4.7 数模转换器 (11) 5 系统软件设计 (12) 5.1 流程图: (12) 5.2 产生波形图 (12) 5.2.1 正弦波 (12) 5.2.2 三角波 (13) 5.2.3 方波 (14) 6 结论 (16) 主要参考文献 (17) 致谢...................................................... 错误!未定义书签。

1引言 1.1题目要求及分析 题目:基于51单片机的波形发生器设计,即由51单片机控制产生正弦波、方波、三角波等的多种波形。 1.1.1示意图 图1:系统流程示意图 1.2设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调,频率步进间隔≤100Hz,非正弦波的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。

DSP任意波形信号发生器毕业设计

目录 摘 要 (2) Abstract (3) 1 绪论 (4) 1.1概述 (4) 1.2选题的目的、意义 (4) 1.3 选题的背景 (5) 1.4 本文所研究的内容 (6) 2 波形信号发生器的原理及方案选择 (7) 2.1任意波形信号发生器的原理 (7) 2.1.1 直接模拟法 (7) 2.1.2 直接数字法 (7) 2.2 任意波形发生器的设计方案 (9) 2.2.1 查表法 (9) 2.2.2计算法 (9) 2.2.3传统方法 (10) 3 基于DSP 5416的任意波形信号发生器的软件设计 (12) 3.1 TMS320C5416的开发流程 (12) 3.2软件开发环境 (13) 3.3任意波形信号发生器的软件编程 (14) 3.3.1 计算法实现波形输出 (14) 3.3.2 D/A转换 (15) 3.3.3波形控制及软件设计流程图 (16) 3.4参数的设定 (18) 4 基于DSP 5416的任意波形信号发生器的硬件设计 (20) 4.1 TMS320VC5416开发板 (20) 4.2 TMS320VC5416实验箱的连接 (23) 4.3 波形信号发生器的硬件测试过程 (23) 5 任意波形信号发生器展望 (28) 结束语 (29) 致谢 (30) 参考文献 (31)

摘 要 任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。 目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。 关键词:DSP,任意波形信号发生器,DDS

国产函数、任意波形发生器大比拼

国产函数、任意波形发生器大比拼 典型的DDS原理框图如图所示。 其实质是数模转换,仍然要遵循奈奎斯特采样定理。即输出的频率不超过采样率的一半,事实上商用的采用DDS技术的函数/任意波形发生器由于受到低通滤波器设计以及杂散分布的影响限制,输出波形的最高频率均不超过采样率的40%。相对于直接模拟频率合成,锁相频率合成,其优点如下: ·频率分辨率高。若时钟频率不变,DDS频率分辨率仅由相位累加器位数来决定,也就是理论上的值越大,就可以得到足够高的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多都小于1mHz甚至更小,这是其他频率合成器很难做到的。 ·工作频带较宽。根据Nyquist定律,只要输出信号的最高频率分辨率分量小于或等于fclk/2就可以实现。而实际当中由于受到低通滤波器设计以及杂散分布的影响限制,仅能做到40% fclk左右。 ·超高速频率转换时间。DDS是一个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时间极短。DDS 的频率转换时间可达到纳秒数量级,比使用其它的频率合成方法都要小几个数量级。 ·相位变化连续。改变DDS输出频率,实际上改变的是每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续性。 ·具有任意输出波形的能力。只要ROM中所存的幅值满足并且严格遵守Nyquist定律,即可得到输出波形。例如三角波、锯齿波和矩形波。 ·具有调制能力。由于DDS是相位控制系统,这样也就有利于各种调制功能。 同时DDS合成技术也有一些固有的缺点,如下: ·杂散分量丰富。这些杂散分量主要由相位舍位、幅度量化和DAC的非理想特性所引起。因为在实际的DDS电路中,为了达到足够小的频率分辨率,通常将相位累加器的位数取大。但受体积和成本的限制,即使采用先进的存储方法,ROM的容量都远小于此,因此在对ROM寻址时,只是用相位累加器的高位去寻址,这样不可避免地引起误差,即相位舍位误差。另外,一个幅值在理论上只能用一个无限长的二进制代码才能精确表示,由于ROM的存储能力,只采用了有限比特代码来表示这一幅值,这必然会引起幅度量化误差。另外,DAC的有限分辨率以及非线性也会引起误差。所以对杂散的分析和抑制,一直是国内外研究的特点,因为它从很大程度上决定了DDS的性能。 ·频带受限。由于DDS内部DAC和ROM的工作速度限制,使得DDS输出的最高频率有限。目前市场上采用CMOS、TTL等工艺制作的DDS芯片工作频率一般在几十MHz至几百MHz左右。但随着高速GaAs器件的出现,频带限制已明显改善,芯片工作频率可达到2GHz范围左右。 以上摘自:《现代DDS的研究进展与概述》一文,https://www.sodocs.net/doc/0f12313192.html,/event/emag/20080226.htm。 将DDS应用于波形发生器,能非常方便的产生任意波形。一般除了具备常规函数发生器所具备的正弦波、方波、锯齿波、脉冲、噪声外,还有指数上升、指数下降、Sinc波、心电图波、直流,以及地震波等任意波形。能采用直接在仪器上手动编辑或windows 下软件编辑的方式产生任意波形,用于模拟电路或应用环境中可能发生的情况,此外还具备非常丰富的调制功能,甚至有些调制功能是以往只能在高端信号源上才能看到的。 下面找出主要以国产厂商为主的函数/任意波形发生器做一个对比,以此来了解国内DDS的应用水平,并给出一个大概的选购指南,以便您在需要的时候能够快捷的找到合手的信号源。Agilent在很早之前就推出了33200系列

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

使用任意波形发生器-Tektronix

使用任意波形发生器 创建无线信号 入门手册

使用任意波形发生器创建无线信号入门手册 2 https://www.sodocs.net/doc/0f12313192.html,/signal_generators

使用任意波形发生器创建无线信号 入门手册 目 录 摘要??????????????????????????????????????????????4简介??????????????????????????????????????????????4无线应用与数字调制??????????????????????????????????????5-12无线发射面临的挑战?????????????????????????????????????5为什么要数字调制??????????????????????????????????????6什么是数字调制???????????????????????????????????????7数字调制应用????????????????????????????????????????12数字无线测试?????????????????????????????????????????12-19发射机-I-Q调制器测试???????????????????????????????????13 IF滤波器效率和损伤测试???????????????????????????????????14发射机-RF功率放大器线性度?????????????????????????????????15接收机-IF解调器测试????????????????????????????????????16接收机-RF功能测试????????????????????????????????????17接收机-平衡器特性评估???????????????????????????????????18接收机-干扰灵敏度?????????????????????????????????????18 RF频谱环境仿真???????????????????????????????????????19使用任意波形发生器(AWG)生成调制信号????????????????????????????19-25生成基带I-Q信号??????????????????????????????????????19 IF生成???????????????????????????????????????????20 RF生成???????????????????????????????????????????21编译复合信号????????????????????????????????????????23回绕式考虑?????????????????????????????????????????24展望??????????????????????????????????????????????26 https://www.sodocs.net/doc/0f12313192.html,/signal_generators 3

任意波形发生器

基于CPLD和单片机的任意波形发生器设计 在电子工程设计与测试中,常常需要一些复杂的、具有特殊要求的信号,要求其波形可任意产生,频率方便可调。通常的信号产生器难以满足要求,市场上出售的任意信号产生器价格昂贵。结合实际需要,我们设计了一种任意波形发生器。电路设计中充分利用MATLAB的仿真功能,将希望得到的波形信号在MATLAB中完成信号的产生、抽样和模数转换,并将得到的数字波形数据存放在数据存储器中,通过单片机和CPLD控制,将波形数据读出,送入后向通道进行A/D转换和放大处理后得到所需的模拟信号波形。利用上述方法设计的任意波形发生器,信号产生灵活方便、功能扩展灵活、信号参数可调,实现了硬件电路的软件化设计。具有电路结构简单、实用性强、成本低廉等优点。 任意波形发生器的设计思想,是利用MATLAB的强大仿真功能,方便、快捷的生成给定频率、周期、脉宽的任意波形数据;并将数据预存在数据存储器中。在单片机控制下,利用CPLD电路产生地址读出数据,送入D/A转换电路,得到所需的任意波形信号。系统结构框图如图1;图中分频电路和地址发生器由CPLD实现。 图1 系统框图 单片机采用AT89C52芯片,通过软件编程产生所要求的控制信号。主要的控制参数包括:信号周期、脉宽;分频电路的开始信号、地址发生器的复位信号;E2PROM的选通信号;D/A转换电路的选通信号。在具体电路中,端口P1.0控制分频电路的启动、P1.1控制地址发生器的清零,P2.0控制 28C256和AD7545的选通信号。单片机工作在定时器0方式,软件设计利用C语言实现。流程图如图2所示。 图2 软件流程图 MATLAB作为一款优秀的数学工具软件,具有强大的运算功能;可以方便的产生各种信号波形,在软件中实现波形信号的产生、抽样和模数转换。设计的任意波形发生器,数据存储器选用28C256芯片,信号波形通过MATLAB仿真产生;得到的波形数据存放在数据存储器28C256中。具体设计中,我们要求产生周期为200ms,脉宽为5ms的单/调频混合信号,其中单频信号的脉宽为4ms,频率为 30KHz;调频信号的脉宽为1ms,频率为30KHz_35KHz。在MATLAB中设定抽样率为500KHz,得到了

频率可变的任意波形发生器

深圳大学实验报告 课程名称:V erilog使用及其应用 实验名称:频率可变的任意波形发生器 学院:电子科学与技术学院 一、前言 波形发生器是一种数据信号发生器,在调试硬件时,常常需要加入一些信号,以观察电路工作是否正常,在实验与工程中都具有重要的作用。随着电子技术的发展与成熟,电子工程领域对波形发生器的要求越来越高,不仅要求波形发生器具有连续的相位变换,频率稳定等特点,还要求波形发生器可以模拟各种复杂信号,并能做到幅度、频率,相位,波形动态可调。V erilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 本实验正是基于V erilog HDL语言对波形发生器的功能进行描述,并进行仿真,从而了解与掌握波形发生器的内部工作原理,并进一步熟悉与掌握V erilog HDL语言,将课堂所学知识进行实践。

二、实验原理 总体设计方案及其原理说明: DDS是一种把数字信号通过数/模转换器转换成模拟信号的合成技术。它由相位累加器、相幅转换函数表、D/A转换器以及内部时序控制产生器等电路组成。 参考频率f_clk为整个合成器的工作频率,输入的频率字保存在频率寄存器中,经N位相位累加器,累加一次,相位步进增加,经过内部ROM波形表得到相应的幅度值,经过D/A转换和低通滤波器得到合成的波形。△P为频率字,即相位增量;参考频率为f_clk;相位累加 器的长度为N位,输出频率f_out为: F_out——输出信号的频率;

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

基于labVIEW的任意波形发生器设计余洪伟详解

沈阳航空航天大学 课程设计 (论文) 题目基于labVIEW的任意波形发生器设计 班级 34070102 学号 2013040701060 学生姓名余洪伟 指导教师于明月

沈阳航空航天大学 课程设计任务书 课程名称虚拟仪器课程设计 院(系)自动化学院专业测控技术与仪器 班级34070102 学号2013040701060 姓名余洪伟 课程设计题目基于LabVIEW的任意波形发生器设计 课程设计时间: 2016 年7 月4 日至2016 年7 月15 日课程设计的内容及要求: 1. 内容 任意波形发生器是仿真实验的最佳仪器,任意波形发生器是信号源的一种,它具有信号源所有的特点。基于此,利用LabVIEW 设计一个任意波形发生器。 2. 要求 (1)可以产生三种以上波形(如正弦、锯齿、方波、三角波等),波形的幅值及频率可以调节; (2)可以实现不同波形的转换并显示; (3)可以实现波形数据的存储及回放; (4)虚拟仪器前面板的设计美观大方、操作方便。 指导教师年月日 负责教师年月日 学生签字年月日

目录 0. 前言 (1) 1. 总体方案设计 (1) 2.程序流程图 (2) 3. 程序框图设计 (3) 3.1波形的产生及参数的设计 (3) 3.1.1 正弦波 (3) 3.1.2方波 (4) 3.1.3锯齿波 (4) 3.1.4三角波 (5) 3.1.5公式波形 (6) 3.2波行转换设计 (6) 3.3噪声波形实现 (7) 3.4波形的存储与回放 (8) 4. 前面板的设计 (9) 5.调试过程与结果显示 (10) 5.1波形的调试 (10) 5.1.1 正弦波的工作过程及波形验证 (10) 5.1.2 方波的工作过程及波形验证 (11) 5.1.3 三角波的工作过程及波形验证 (12) 5.1.4 锯齿波的工作过程及波形验证 (12) 5.1.5 公式波形的工作过程及波形验证 (13) 5.2 波形的存储与回放 (14)

陈冲EDA课程设计_任意波形信号发生器

EDA课程设计 任 意 波 形 信 号 发 生 器

姓名: 陈冲 班级: 07通信工程 指导老师:孙惠章 目录 一.简述 (3) 二.设计性能要求 (3) 三.系统框图 (3) 四.系统电路图 (3) 五.基本工作原理 (4) 六. 单元电路模块源程序及功能 (5)

七.系统仿真波形 (10) 八.引脚锁定 (11) 九.实验结果及硬件验证 (11) 十.实验心得 (13) 任意波形信号发生器的设计 一.简述 随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作 用。采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga 设计的多功能波形发生器系统,大大简化其结构, 降低成本, 提高了系统的可靠性 和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏 功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的 波形。 二.设计性能要求 1.能输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。 2.具有幅度和频率的调整。 3.单元电路模块使用VHDL语言编写。

三.系统框图 图1.任意波形信号发生器系统框图四.系统电路图

图2.任意波形信号发生器系统电路图 五.基本工作原理 将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。 选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。 对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。幅度调节可以使用lpm_divide,可以实现八种不同的幅度调节。 六.单元电路模块源程序及功能 1.分频模块 以下为分频模块(CT74161)的VHDL语言编程源程序 LIBRARY IEEE;

简易波形发生器的设计

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

基于LabVIEW的任意波形发生器设计

---------------------------------------------------------------范文最新推荐------------------------------------------------------ 基于LabVIEW的任意波形发生器设计 摘要任意波形发生器是现代测试领域应用最为广泛的通用仪器之一,本论文的主要工作是结合虚拟仪器技术,进行任意波形发生器的研究与设计。 论文介绍了虚拟仪器技术的基本理论,进行了任意波形发生器的软件设计,制定了系统整体方案。本利用功能强大的图形化虚拟仪器开发平台LabVIEW,主要完成对软件系统的设计,采用模块化的设计思想,每个功能的实现由一个模块完成。其中主要包括标准信号(正弦波、方波、三角波、锯齿波)、均匀白噪声、高斯白噪声以及任意波形的生成。最后对虚拟任意波形发生器进行了系统测试和性能分析,实验结果达到了预先的设计要求。9224 关键词虚拟仪器;任意波形发生器;LabVIEW 毕业设计说明书(论文)外文摘要 1 / 20

TitleDesign of Arbitrary Waveform Generator based on LabVIEW Abstract Arbitrary Waveform Generator is a modern field test one of the most widely used general-purpose equipment. The main task of this paper is a combination of virtual instrument technology,arbitrary waveform generator of the research and design. The paper introduces the basic theory of virtual instrument technology.The paper carried out arbitrary waveform generator software design.Developed a system as a whole program.This paper,a powerful graphical development platform Virtual Instrument LabVIEW,mainly to complete the design of software systems,using modular design concept,every function of transition from one module to complete.Which mainly include the generation of Standarded signals(Sine wave,Triangular wave,Square wave,Sawtooth wave),Uniform white noise,Gaussian white

任意波形信号发生器

目录 一、题目要求及分析 (1) 1.1题目要求 (1) 1.2题目分析 (1) 二、任意波形信号发生器方案设计 (3) 2.1系统设计框图与思路 (3) 2.2 系统设计原理图 (5) 2.3 相关芯片介绍 (6) 三、相关模块具体程序实现 (10) 四、仿真及实际结果与分析 (16) 4.1波形选择及仿真结果 (16) 4.2波形选择及实际结果 (18) 4.3结果分析与相关问题解决 (23) 五、总结与体会 (24) 参考文献 (25) 附录 (26)

一、题目要求及分析 1.1题目要求 任意波形信号发生器 利用FPGA器件产生控制信号及数据信号,经DAC0832和TL082转换产生以下波形: 1)正斜率斜波; 2)正弦波; 3)锯齿波; 4)任意波形。 用示波器观察输出波形。 硬件电路内容和要求:用DAC0832实现数模转换电路,用TLC082实现电流-电压转换电路,画出电路原理图。 软件设计内容和要求:VHDL编程实现任意波形的信号控制器。要求可以用开关切换不同的波形数据输出。 扩展:增加衰减控制信号,通过开关控制衰减倍数,并在数码管显示。 1.2题目分析 VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。相比传统的电路系统的设计方法,VHDL 具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。 在本次课程设计中,函数发生器的设计采用自顶向下的系统设计的方法,通过MAX+plusⅡ开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,采用模块化

如何使用函数信号发生器

如何使用函数信号发生器 认识函数信号发生器 信号发生器一般区分为函数信号发生器及任意波形发生器,而函数波形发生器在设计上又区分出模拟及数字合成式。众所周知,数字合成式函数信号源无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟,其锁相环( PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phase Jitter)及频率漂移均能达到相当稳定的状态,但毕竟是数字式信号源,数字电路与模拟电路之间的干扰,始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发. 这是通用模拟式函数信号发生器的结构,是以三角波产生电路为基础经二极管所构成的正弦波整型电路产生正弦波,同时经由比较器的比较产生方波,换句话说,如果以恒流源对电容充电,即可产生正斜率的斜波。同理,右以恒流源将储存在电容上的电荷放电即产生负斜率的斜波,电路结构如下: 当I1 =I2时,即可产生对称的三角波,如果I1 > >I2,此时即产生负斜率的锯齿波,同理I1 < < I2即产生正斜率锯齿波。 再如图二所示,开关SW1的选择即可让充电速度呈倍数改变,也就是改变信号的频率,这也就是信号源面板上频率档的选择开关。同样的同步地改变I1及I2,也可以改变频率,这也就是信号源上调整频率的电位器,只不过需要简单地将原本是电压信号转成电流而已。 而在占空比调整上的设计有下列两种思路: 改变电平的幅度,亦即改变方波产生电路比较器的参考幅度,即可达到改变脉宽而频率不变的特性,但其最主要的缺点是占空比一般无法调到20%以下,导致在采样电路实验时,对瞬时信号所采集出来的信号有所变动,如果要将此信号用来作模数(A/D)转换,那么得到的数字信号就发生变动而无所适从。但不容否认的在使用上比较好调。 2、占空比变,频率跟着改变,其方法如下: 将方波产生电路比较器的参考幅度予以固定(正、负可利用电路予以切换),改变充放电斜率,即可达成。 这种方式的设计一般使用者的反应是“难调”,这是大缺点,但它可以产生10%以下的占空比却是在采样时的必备条件。 以上的两种占空比调整电路设计思路,各有优缺点,当然连带的也影响到是否能产生“像样的”锯齿波。 接下来PA(功率放大器)的设计。首先是利用运算放大器(OP) ,再利用推拉式(push-pull)放大器(注意交越失真Cross-distortion的预防)将信号送到衰减网路,这部分牵涉到信号源输出信号的指标,包含信噪比、方波上升时间及信号源的频率响应,好的信号源当然是正弦波信噪比高、方波上升时间快、三角波线性度要好、同时伏频特性也要好,(也即频率上升,信号不能衰减或不能减太大),这部分电路较为复杂,尤其在高频时除利用电容作频率补偿外,也牵涉到PC板的布线方式,一不小心,极易引起振荡,想设计这部分电路,除原有的模拟理论基础外尚需具备实际的经验,“Try Error”的耐心是不可缺少的。 PA信号出来后,经过π型的电阻式衰减网路,分别衰减10倍(20dB)或100倍(40dB),此时一部基本的函数波形发生器即已完成。(注意:选用π型衰减网络而不是分压电路是要让输出阻抗保持一定)。 一台功能较强的函数波形发生器,还有扫频、VCG、TTL、 TRIG、 GATE及频率计等功能,其设

相关主题