搜档网
当前位置:搜档网 › cool edit pro 2.1 快捷键

cool edit pro 2.1 快捷键

cool edit pro 2.1  快捷键
cool edit pro 2.1  快捷键

cool edit pro 2.1的快捷键

建立一个新(New)的声音文件【Ctrl】+【N】

打开(Open)一个已经存在的声音文件【Ctrl】+【O】

关闭(closedoWn)当前的文件【Ctrl】+【W】

保存(Save)当前文件【Ctrl】+【S】

退出(Quit)CoolEdit2000 【Ctrl】+【Q】

编辑波形

选择全部声音【Ctrl】+【A】

使两个声道能被同时(Both)选取【Ctrl】+【B】

只选择左(Left)声道【Ctrl】+【L】

只选择右(Right)声道【Ctrl】+【R】

将选择范围的左界限向左调整【←】

将选择范围的左界限向右调整【→】

将选择范围的右界限向左调整【Shift】+【←】

将选择范围的右界限向右调整【Shift】+【→】

选择当前显示范围内的所有波形【Ctrl】+【Shift】+【A】

取消选择并且把光标移到当前显示范围最前面【Esc】

选择范围左界限向右一个节拍【Shift】+【[】

选择范围右界限向右一个节拍【Shift】+【]】

撤消操作【Ctrl】+【Z】

重复最近的命令【F2】

重复最近的命令(没有对话框) 【F3】

拷贝(Copy)所选波形到剪贴板【Ctrl】+【C】

剪切所选波形到剪贴板【Ctrl】+【X】

将剪切板内容粘贴到当前文件【Ctrl】+【V】

根据剪切板内容建立一个新(New)文件【Ctrl】+【Shift】+【N】将剪切板内容与所选区域混合【Ctrl】+【Shift】+【V】

嵌入当前选集或波形到多声道(Multitrack)环境【Ctrl】+【M】删除选定的声波【DEL】

将选择区域以外的部分修剪掉(Trim) 【Ctrl】+【T】

转换当前文件的类型【F11】

在Cooledit的5个内部剪贴板中切换【Ctrl】+【1至5】

切换到WINDOWS系统剪贴板

剪切所选波形到当前内部剪贴板【Shift】+【DEL】

播放和录制

播放/停止【空格】

录制/暂停【Ctrl】+【空格】

从光标所在处开始播放【Shift】+【空格】

从头开始播放【Ctrl】+【Shift】+【空格】

标准播放(PlayNormal) 【Alt】+【P】

停止(Stop)(当播放时跟【空格】功能相同) 【Alt】+【S】

视图和缩放

将视图移到最前面(不影响光标位置) 【Home】

将视图移到最后面(不影响光标位置) 【End】

缩放到所选波形的左侧【Ctrl】+【End】

缩放到所选波形的右侧【Ctrl】+【Home】

垂直放大显示【Ctrl】+【↑】

垂直缩小显示【Ctrl】+【↓】

水平放大显示【Ctrl】+【→】

水平缩小显示【Ctrl】+【←】

将视图向前移动一屏(不影响光标位置) 【PageDown】

将视图向后移支一屏(不影响光标位置) 【PageUp】

打开帮助窗口【F1】

打开全局设置窗口【F4】

将当前光标位置或选区范围在提示栏做上记号【F8】

打开波形列表窗口【F9】

临控VU标准【F10】

打开信息(Info)窗口【Ctrl】+【I】

打开频率分析窗口【Alt】+【Z】

跳到下一个波形窗口(当打开多个文件时) 【Ctrl】+【Tab】

跳到前一个波形窗口(当打开多个文件时) 【Ctrl】+【Shift】+【Tab】

图文详细教程教你如何用Cool_Edit_Pro_2_1录音1

首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的mic调试好。然后下载我们要用到的工具COOL EDIT PRO 2.1及其插件。 Cool Edit Pro 2.1 下载 1、打开cooledit pro 2.0后,会自动建立一个新的工程。界面如下: 大家看到,我标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时要点亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开始录下你的声音了。 在录音之前还需调整你的“声音与音频属性”,如图:

需要把录音项打勾,在MIC一栏中选中,其它的不要选择,因为我们要录的只是自己要歌唱的声音。 2、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关闭”。回到多轨模式下删除此轨。

3、右键单击第一轨,插入一个音频文件,注意,这个音频文件就是你的音乐伴奏文件,可以是mp3,也可以是wav等其它音乐文件的格式。

4、在第二轨处,把R点亮,点击下面的红色录音键就可以开始跟唱了。不要忘了准备歌词和熟悉歌曲的旋律,要不然录出来的东西可是……嘿嘿 5、录制完成之后,你可以听听你录下的声音是不是干巴巴的?很难听吧?呵呵,都这样,没有加任何效果嘛。好,现在我们来给你的声音进行一下润色吧。右键点击你录制声音所在的轨道,点击波形编辑进入单轨模式。如图:

6、我们录制的声音首先要进行降噪,虽然录制环境要保持绝对的安静,但还是会有很多杂音的。点击效果中的降噪器,我们在上面已经进行过了环境的噪音采样,此时只需点击“确定”,降噪器就会自动消除你录制声音中的环境噪音,你也可以打开“预览”自己拖动直线来进行调整直到满意为止。加一句,过多的降噪会对声音有一定的损失。

quartusII图形设计过程教程

Quartus II 的使用 (2) 1 工程建立 (2) 2 原理图的输入 (5) 3 文本编辑(verilog) (15) 4 波形仿真 (16)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击 ok按钮。此时可看到光标上粘着被选的符号,将其移到合 适的位置(参考图 1.11)单击鼠标左键,使其固定; (4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧 单击鼠标左键,即可看到在input和D触发器之间有一条 线生成;

cooledit使用教程

C o o l E d i t P r o2.0录音图解教程 特别感谢网友川江魅影和戈壁提供指导整理/飞虹C o o l E d i t P r o2.0录音图解教程 首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来 听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的m i c调试好。然后下 载我们要用到的工具C O O L E D I T P R O2.0及其插件。 c o o l e d i t p r o2.0下载(带汉化 注册机) c o o l e d i t2.0汉化 U l t r a f u n k插件下载 B B E S o n i c M a x i m i z e r插件下载b b e序列号:S M V100W1002507 W a v e插件 插件4 插件5 1、安装好c o o l e d i t p r o2.0后,再把插件安装到安装目录下的子目录中,新建一个名曰“D X”的文件夹, 把所有的插件都安装释放到这里。 2、打开c o o l e d i t p r o2.0后,会自动建立一个新的工程。界面如下: 大家看到,我标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时要点 亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开 始录下你的声音了。 在录音之前还需调整你的“声音与音频属性”,如图:

需要把录音项打勾,在M I C一栏中选中,其它的不要选择,因为我们要录的只是自己要歌 唱的声音。 3、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很 长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关 闭”。回到多轨模式下删除此轨。 以是m p3,也可以是w a v等其它音乐文件的格式。

Cool Edit Pro一些使用技巧

Cool Edit Pro一些使用技巧 Cool Edit Pro一些使用技巧 出处:多特软件站时间:2008-09-03 人气:62290我要提问我来说两句 核心提示:如果你的Windows 计算机有一块声卡或健全的模块( 作为几乎所有做) ,Cool Edit 能把它变成一记录有特征的所有的工作室由职业的声音工程师使用了。 如果你的Windows 计算机有一块声卡或健全的模块( 作为几乎所有做) ,Cool Edit 能把它变成一记录有特征的所有的工作室由职业的声音工程师使用了。你能使用它记录你的自己的音乐,声音或另外的声音, 编辑它, 与另外的声音或音乐的部分混合它, 象Reverb ,合唱团,并且回响一样增加效果到它,equalize 它,并且主人它以便你能烧它到CD ,在万维网上邮寄它,或发电子邮件给它。一旦你开始,你将在你能完成的被惊奇! 下面,我们以一段吉他音频的编辑为例,介绍Cool Edit Pro的编辑功能.至于其他的贝斯,人声等,编辑方法基本一样. 如图,是一段没有经过编辑的木吉他节奏波形.红线所指,是开

始弹奏前预留的一段空白噪音.这段噪音的预留,是为了现在进行采样降噪做准备的. 首先谈谈降噪的过程: 我们录进电脑里的波形,一定会存在有噪音。对各种噪音,有各种解决办法. DC Offset(直流偏移)是由一些麦克风或者声卡造成的,表现现象就是波形没有处于水平线正中部位,在纵向有一定偏移. 它的处理方式最简单,一般的音频软件都可以很容易去除.如Sound Forge里,只要在"process"菜单里选择"Dc Offset"就可以了. 而在Cool Edit Pro中,则在"转换"菜单里选择"Amplify",如下图所示: 点红线所指之处,会出现下面的对话框 选中"Enable DC Bias Adjust"前的勾,然后在红线指处填进去"0",然后选择"OK"就可以了.而背景噪音是一般个人电脑录音中最大的问题,因为房间隔音能力差,环境不安静造成各种各样的背景噪音.如声卡的杂音,音箱的噪音,家里电器的声音,电脑的风扇,硬盘..., 采样降噪是目前比较科学的一种消除噪音的方式,它首先获

Quartus_II使用教程-完整实例

Quartus Ⅱ入门教程 (一个Verilog 程序得编译与功能仿真) Quartus Ⅱ 就是Altera 公司推出得专业EDA 工具,支持原理图输入、硬件描述语言得输入等多种输入方式。硬件描述语言得输入方式就是利用类似高级程序得设计方法来设计出数字系统。接下来我们对这种智能得EDA 工具进行初步得学习。使大家以后得数字系统设计更加容易上手。 第一步:打开软件 快捷工具栏:提供设置(setting),编译(pile)等快捷方式,方便用户使用,用户也可以在菜单栏得下拉菜单找到相应得选项。● 菜单栏:软件所有功能得控制选项都可以在其下拉菜单中找到。 ● 信息栏:编译或者综合整个过程得详细信息显示窗口,包括编译通过信息与报错信息。 第二步:新建工程( Project Wizard ) 1 工程名称: 快捷工具栏 菜单栏 所建工程得保存路径 工作区 资源管理窗口 任务管理窗口

dqgxo 。 2添加已有文件(没有已有文件得直接跳过next ) 3 选择芯片型号(我们选择MAX3000A 系列下得EPM3256AQC208-10芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置) 工程名称 顶层模块名(芯片级设计为实体名),要求与工程名称相同 如果有已经存在得文 件就在该过程中添加, 软件将直接将用户所添加得文件添加到工程中。

4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None,然后next) 5 工程建立完成(点finish ) 所选得芯片得系列型号 快速搜索所需得芯片 选择芯片 选择第三方综合工具,如果使用Quartus 内部综合工具则选择none 选择第三方仿真工具,如果使用Quartus 内部仿真工具则选择none 选择时序分析仪

Cool Edit 教程--Cool Edit 的安装及参数设置

Cool Edit 教程--Cool Edit 的安装及参数设置 首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的mic调试好。 然后下载我们要用到的工具COOL EDIT PRO 2.0及其插件。现在很多的音乐制作人都在使用这个超级工具。闲话少说,与本次介绍给大家无关的不提,我们现在开始。 1、安装好cooledit pro 2.0后,再把插件安装到安装目录下的子目录中,新建一个名曰“DX”的文件夹,把所有的插件都安装释放到这里。 2、打开cooledit pro 2.0后,会自动建立一个新的工程。界面如下: 大家看到,标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时要点亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开始录下你的声音了。 在录音之前还需调整你的“声音与音频属性”,双击电脑桌面右下角的音量图标,打开后点选项中的属性如图:

需要把录音项打勾,在MIC一栏中选中,其它的不要选择,因为我们要录的只是自己要歌唱的声音。 3、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关闭”。回到多轨模式下删除此轨。另,也可以在单轨下截取音轨空白的部分获取噪音进行降噪。

4、右键单击第一轨,插入一个音频文件,注意,这个音频文件就是你的音乐伴奏文件, 可以是mp3,也可以是wav等其它音乐文件的格式。

5、在第二轨处,把R点亮,点击下面的红色录音键就可以开始跟唱了。不要忘了准备歌词和熟悉歌曲的旋律,要不然录出来的东西可是……嘿嘿 6、录制完成之后,你可以听听你录下的声音是不是干巴巴的?很难听吧?呵呵,都这样,没有加任何效果嘛。好,现在我们来给你的声音进行一下润色吧。右键点击你录制声音所在的轨道,点击波形编辑进入单轨模式。如图

Cool Edit Pro2.1 编辑音频教程

Cool Edit Pro数字音乐编辑器MP3制作软件 Cool Edit Pro是一个集录音、混音、编辑于一体的多轨数字音频编辑软件,是一个非常出色的数字音乐编辑器和MP3制作软件。不少人把Cool Edit形容为音频“绘画”程序。你可以用声音来“绘”制:音调、歌曲的一部分、声音、弦乐、颤音、噪音或是调整静音。而且它还提供有多种特效为你的作品增色:放大、降低噪音、压缩、扩展、回声、失真、延迟等。你可以同时处理多个文件,轻松地在几个文件中进行剪切、粘贴、合并、重叠声音操作。使用它可以生成的声音有:噪音、低音、静音、电话信号等。该软件还包含有CD播放器。其他功能包括:支持可选的插件;崩溃恢复;支持多文件;自动静音检测和删除;自动节拍查找;录制等。另外,它还可以在AIF、AU、MP3、Raw PCM、SAM、VOC、VOX、WAV等文件格式之间进行转换,并且能够保存为RealAudio格式! 参考: 先下载一个汉化注册版,手把手教你录歌的教程: https://www.sodocs.net/doc/f52292979.html,/publicforum/Content/it/1/297476.shtml 软件下载完后内有安装说明,一定要按说明一步一步安装 使用说明:

1.运行cep_v 2.0 setup.exe安装Cool Edit Pro v2.0! 一般都会安装到默认的路径 2.运行破解注册程序 cep2reg.exe程序注册,输入注册码: Name: mydaj Code: 200-00-NKL YUBNZ 3.运行cep_v2.1 setup.exe程序安装Cool Edit Pro v2.1! 4.运行汉化程序 Cool2chinese 汉化包安装到上面安装程序的路径下 5.下面是三个效果插件,这些效果插件都有破解和注册码。按默认路径就可以了。请一个一个安装: BBE Sonic Maximizer ultrafunk2 wave3.0 6,最好安装在默认的C盘,使用默认的设置,不然有可能不能完成安装过程。 7,安装完一个程序后会自动打开程序。要把这一程序关闭再安装下一程序。 Cool Edit Pro 2.1软件如何操作

CoolEditPro20详细指导[1-4](图文)

Cool Edit Pro 2.0详细教程[1-4](图文) 系统介绍一下用Cooledit pro 2.0录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。 录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3伴奏文件,wav也可(图1)。 (图1) 2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

(图3) 4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要 重新录制(图4)

(图4) 5、双击音轨2进入波形編辑界面(图5),将你录制的原始人声文件保存为mp3pro格式(图6 图7),以前的介绍中是让大家存为wav格式,其实mp3 也是绝对可以的,并且可以节省大量空间。

(图5)

(图6) (图7) (注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么高超,也不可能处理出令人满意的结果的。 另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。 降噪处理 降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

QuartusII教程(完整版)

Quartus II 的使用 (1) 1 工程建立 (1) 2 原理图的输入 (4) 3 文本编辑(verilog) (14) 4 波形仿真 (17)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图 1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;

CoolEdit2.0使用教程

Cool Edit Pro v2.0实验手册 实验一安装注册 Cool Edit是一款功能强大、效果出色的多轨录音和音频处理软件。它是一个非常出色的数字音乐编辑器和MP3制作软件。不少人把Cool Edit形容为音频“绘画”程序。你可以用声音来“绘”制:音调、歌曲的一部分、声音、弦乐、颤音、噪音或是调整静音。而且它还提供有多种特效为你的作品增色:放大、降低噪音、压缩、扩展、回声、失真、延迟等。你可以同时处理多个文件,轻松地在几个文件中进行剪切、粘贴、合并、重叠声音操作。使用它可以生成的声音有:噪音、低音、静音、电话信号等。该软件还包含有CD播放器。其他功能包括:支持可选的插件;崩溃恢复;支持多文件;自动静音检测和删除;自动节拍查找;录制等。另外,它还可以在AIF、AU、MP3、Raw PCM、SAM、VOC、VOX、WA V 等文件格式之间进行转换,并且能够保存为RealAudio格式。 实验目的 了解Cool Edit的功能 掌握Cool Edit2.0及相关插件的安装过程 实验内容 1.1安装 步骤一:运行cep_v2.0 setup.exe安装Cool Edit Pro v2.0。勾选“同意许可协议”后点击“Continue”继续,来到友好欢迎界面(图1.2)。 图1.1 图1.2 步骤二:安装路径,默认为:C:\Program Files\coolpro2,可以通过“Browse”另择安装

路径。这个安装路径很重要,在后面的注册、汉化操作中都会涉及到。 图1.3 图1.4 步骤三:路径设置完毕,在图1.5中可以看到我设置的路径为:D:\Program Files\coolpro2。点击“Next”进入下一步操作(图1.6)。这里是要我们选择将来软件关联的音频格式,默认中已经准备了很多,我们只需点击“Next”即可。 图1.5 图1.6 步骤四:准备安装。当我们看到图1.7所示界面,表示前期工作已经结束,可以安装了。 图1.7 图1.8

Cool edit pro 2.0 简明教程

Cool edit pro 2.0简明教程 https://www.sodocs.net/doc/f52292979.html,/school · 2004-11-09 18:38:39 · 来源: 网易社区 ·本文关键字:工具软件/COOLEDIT/ 录音 一、录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1.打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3伴奏文件,wav也可(图1)。

border=0 onclick="javascript:window.open(this.src);" style="CURSOR: pointer" onmousewheel="return bbimg(this)" onload="javascript:if(this.width>screen.width-500)this.style.width=screen.width -500;"> 2.选择将你的人声录在音轨2,按下“R”按钮。(图2)

border=0 onclick="javascript:window.open(this.src);" style="CURSOR: pointer" onmousewheel="return bbimg(this)" onload="javascript:if(this.width>screen.width-500)this.style.width=screen.width -500;" > 3.按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

FPGA入门及Quartus II使用教程(内部资料)

FPGA入门及Quartus II使用教程FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)等可编程器件的基础上上进一步发展的产物。 可以这样讲,ASIC(Application Specific Integrated Circuit )内部的所有资源,是用积木堆积起来的小房子,可以是一个欧美风情的房子,还可以是一个北京四合院…….而FPGA内部就可以说是一个个小积木,也就是内部有大量的资源提供给我们,根据我们的需求进行内部的设计。并且可以通过软件仿真,我们可以事先验证设计的正确性。 第一章FPGA的基本开发流程 下面我们基于Altera 公司的QuantusII 软件来说明FPGA 的开发流程。 下图是一个典型的基于Quartus II的FPGA开发整体流程框图。

1、建立工程师每个开发过程的开始,Quartus II以工程为单位对设计过程进行管 理。 2、建立顶层图。可以这样理解,顶层图是一个容器,将整个工程的各个模块包 容在里边,编译的时候就将这些模块整合在一起。也可以理解为它是一个大元件,比如一个单片机,内部包含各个模块,编译的时候就是生成一个这样的大元件。 3、采用ALTERA公司提供的LPM功能模块。Quartus软件环境包含了大量的常 用功能模块,比如计数器、累加器、比较器等等。 4、自己建立模块。由于有些设计中现有的模块功能不能满足具体设计的要求, 那就只能自己设计。使用硬件描述语言,当然也可以用原理图的输入方法,可以独立的把它们当成一个工程来设计,并且生成一个模块符号(Symbol),类似于那些LPM功能模块。这里可以理解为,如果我们需求的滤波器,没有现成的合适的,那我们可以通过LC自己来搭建一个滤波器。 5、将顶层图的各个功能模块连线起来。这个过程类似电路图设计,把各个芯片 连起来,组成电路系统。 6、系统的功能原理图至此已经基本出炉了,下一步就是选择芯片字载体,分配 引脚,设置编译选项等等。 7、编译。这个过程类似软件开发里德编译,但是实际上这个过程比软件的编译 复杂的多,因为它最终要实现硬件里边的物理结构,包含了优化逻辑的组合,综合逻辑以及布线等步骤。 8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过 JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。 9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真 软件来对设计进行反复仿真和验证,直到满足要求。(主要是时序仿真)。 第二章基于Quartus II的实例 一、建立工程 首先,打开Quartus II软件。

录音软件cooledit2.1详细使用方法

一、软件简介: CoolEdit PRO 2.1 破解版(功能强大的多轨录音软件)非常出色的数字音乐编辑器和MP3制作软件。不少人把Cool Edit形容为音频“绘画”程序。你可以用声音来“绘”制:音调、歌曲的一部分、声音、弦乐、颤音、噪音或是调整静音。而且它还提供有多种特效为你的作品增色:放大、降低噪音、压缩、扩展、回声、失真、延迟等。你可以同时处理多个文件,轻松地在几个文件中进行剪切、粘贴、合并、重叠声音操作。使用它可以生成的声音有:噪音、低音、静音、电话信号等。该软件还包含有CD播放器。其他功能包括:支持可选的插件;崩溃恢复;支持多文件;自动静音检测和删除;自动节拍查找;录制等。另外,它还可以在AIF、AU、MP3、Raw PCM、SAM、VOC、VOX、WAV 等文件格式之间进行转换,并且能够保存为RealAudio格式。 二、使用说明: 1.运行cep_v 2.0 setup.exe安装Cool Edit Pro v2.0! 一般都会安装到默认的路径 2.运行破解注册程序 cep2reg.exe程序注册,输入注册码: Name: mydaj Code: 200-00-NKLYUBNZ 3.运行cep_v2.1 setup.exe程序安装Cool Edit Pro v2.1! 4.运行汉化程序 Cool2chinese 汉化包安装到上面安装程序的路径下 5.下面是三个效果插件,这些效果插件都有破解和注册码。按默认路径就可以了。请一个一个安装: BBE Sonic Maximizer (serial: SMV100W1002507) ultrafunk2 wave3.0 三、系统介绍一下用Cooledit pro 2.1录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。 (一)录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3/wma伴奏文件(图1)。

音乐编辑Cool Edit Pro简单教程

Cool Edit Pro 用Cool Edit Pr o消除原唱,方法实际上可以说很简单,然而要想把它做的很完美还是得下点功夫。 我这里说的“消原唱”只是Cool Edit Pro菜单中的一个独立功能,选择并使用就立即出结果。但是要想得到最好的效果,仅仅使用Cool Edit Pr o的“消原唱”菜单功能还是不够滴!!你还得进行跟多细致的音频处理和设置。 无论如何,还是先让我们尝试下最简单的“消除原唱”的方法。 首先进入“单轨编辑模式”界面。用“File”→“Open”调入一个音频文件。我已庾澄庆的《命中注定》为例,文件名为“命中注定.MP3”。调入后选择“Effects”→“Amplitude” →“Channel Mixer…..”(中文版的为“效果”→“波形振幅”→“声道重混缩”),在“预置”中选择“Vocal Cut”见(图1),保持对话框上的默认设置,点“OK”按钮。经过处理后,就得到了《命中注定》这首歌的伴奏音乐。 “Vocal Cut”功能的原理是:消除声像位置在声场中央的所有声音(包括人声和部分伴奏)。所以用此功能主要的还是要看伴奏的来源,混音前是否有乐器和人声放在声场的中央,如果有的话用此功能都会把它给消除掉,造成了音质的衰减。比如说一般声场放在中央的有“主人声”、BASS……等等。如果大家需要消音音频来源是我说的这些原理的来源的话,我还是建议不要使用此功能,这样人声没消掉到把伴奏音乐全给消除了,真让人郁闷呵呵 Adobe Audition 导语:现在很多影碟机和软件都能将有歌声的双声道歌曲消除原唱,但是您会发现消除后伴奏就变成单声道了,并且只针对回声很小的歌曲有效果。而最新版本的Adobe Audition就解决了这个难题,消除了原唱不仅能保持双声道,而且回声较大的歌曲也能将歌声减去很多,且效果较好,甚至还可以将左声道是伴奏右声道是原唱的VCD音频转换成双声道伴奏! 网友们,在充满着音乐的美好的时光里,确实给我们带来了很多的欢乐,动听的歌声打动着我们每个人的心灵,优美的旋律让我们放开心怀,丰富了我们的业余生活。对!我们听着的音乐都是歌星们演唱的,但是,如果有自己心爱的歌曲想自己唱,那就需要原唱歌曲的伴奏了。其实您需要伴奏也可以去音像店里购买歌星的MTV VCD/DVD或者KALAOK VCD/DVD,也可以去网上寻找MP3、MIDI伴奏,除少部分歌曲在音像店或者网上能够找到双声道伴奏外,有一部分歌曲是单声道伴奏,但有一部分歌曲根本就没有伴奏,哎呀,如果我心爱的歌曲我买不到也找不到伴奏那怎么办呢?没关系,这篇文章可以为您解难!另外,有的已做好了的伴奏有导唱旋律声,有的朋友唱歌水平很高,不需要导唱旋律声,如果找不到无导唱旋律声的双声道伴奏的情况下,也可以在下面的介绍中利用原唱歌曲消除原唱保持双声道伴奏,当然,不同的伴奏制作方法有不同的效果,应该根据个人情况决定。这篇文章我们会介绍将已有的单声道伴奏制作成双声道伴奏、将有歌声的歌曲消除原唱制作成双声道伴奏、将伴奏制作成个性化伴奏。

cooleditpro音频基本编辑方法

3、单轨音频基本编辑方法 1. 录音 Cool Edit Pro可以录入多种音源,如:话筒、录音机、CD播放机等,将这些设备与声卡连接好,就可以准备录音了。录音的步骤如下: (1)将话筒插入电脑声卡的麦克风插孔,开启话筒电源。 (2)启动Cool Edit Pro后,切换到波形单轨编辑窗口。 (3)选择菜单[文件][新建],出现“新建波形”对话框,选择适当的采样率,声道数,采样精度,如图4-9所示。 (4)按下操作区的“”按钮,开始录音。如图4-10所示。 图4-9 新建波形图4-10 录音按钮 (5)单击操作区左上角的“”按钮就可以停止录音。 (6)通过单击“”按钮进行试听。 (7)通过菜单[文件][另存为]进行保存,保存时可以选择不同的文件类型,如图4-11所示。

图4-11 录音文件保存 2. 摘录 Cool Edit Pro可以从CD或VCD中摘录声音,现以CD为例,步骤如下:(1)将CD放入光驱中,选择菜单[文件]|[从CD中提取音频]。 (2)在[音轨]下拉文本框中选择要提取的音轨,单击[确定],如图4-12所示。 图4-12 摘录 (3)通过菜单[文件]|[另存为]选择需要的类型进行保存。 3. 其他操作

其他的操作如剪切、复制、粘贴等功能和一般的应用软件很相似。在波形窗口左右声道的交界处拖动鼠标,选中要处理的波形区域,单击右键弹出快捷菜单就可以选择剪切或复制命令,在需要插入波形的地方同样单击右键弹出快捷菜单就可以选择粘贴命令。如果要选中右声道中的波形,则可以将光标移到波形窗口下方边界时,光标显示“R”的时候拖动鼠标,如图4-13所示。同样的,如果要选中左声道中的波形,则可以将光标移到波形窗口上 图4-13 选择右声道中的波形 方边界时,光标显示“L”的时候拖动鼠标。 4、单轨音频效果处理 1. 音量调整 Cool Edit Pro可以在保证不出现声音失真的前提下,对声音进行调整。 操作步骤如下: (1)打开要处理的音频文件。 (2)选择菜单[效果]|[波形振幅]|[渐变]。

QuartusII入门详细教程实例讲解

Quartus II入门详细教程实例讲解 写在前面: 1.本教程适合以前没有接触过QuartusII开发软件的新手,本教程是基础的入门,后续的学习还得大家自己努力。 2.本教程非常详细手把手带大家入门,网上现存的很多教程,有的过于跳跃,难以跟上;有的遇到错误,但教程没有指出,导致我们不知道怎么做。 3.本教程首先通过简单的仿真实验带大家入门。VHDL源代码会附在文档最后。 4.本教程使用Quartus II 9.1版本进行演示,其他版本的操作差别不是太大,也可以进行学习。 目录 一、Quartus II开发软件基本介绍 1.1 Quartus Ⅱ简介 Quartus Ⅱ是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。 1.2 Quartus Ⅱ开发流程 使用Quartus II 软件进行开发的流程如图1.2.1所示。需注意的是,Quartus II还可以使用命令行模式的TCL批处理脚本进行自动流程控制。

图1.2.1 Quartus Ⅱ开发流程 二、用3-8译码器的设计介绍QuartusⅡ的基本使用方法(VHDL仿真) 1.1打开软件 双击桌面安装好的QuartusⅡ 9.1图标,打开软件,主页面如图1所示。 图1 在图1中,1区为菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。2区为快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。3区为资源管理窗口。4区为编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当显示100%是表示编译或者综合通过。5区为工作区。6区为信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

Cool Edit Pro中文说明书

Cool Edit Pro详细教程 录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3伴奏文件,wav 也可(图1)。 (图1) 2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

(图3) 4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要重新录制(图 4)

(图4) 5、双击音轨2进入波形編辑界面(图5),将你录制的原始人声文件保存为mp3pro 格式(图6图7),以前的介绍中是让大家存为wav格式,其实mp3也是绝对可以的,并 且可以节省大量空间。

(图5)

(图6) (图7) (注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么高超,也不可能处理出令人满意的结果的。 另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。 降噪处理 降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

Cooledit2.1(中文版)使用教程

录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3/wma 伴奏文件(图1)。 2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要重新录制(图4)

5、双击音轨2进入波形編辑界面(图5),将你录制的原始人声文件保存为mp3pro格式(图6图7),以前的介绍中是让大家存为wav格式,其实wma/mp3也是绝对可以的,并且可以节省大量空间。

(注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么高超,也不可能处理出令人满意的结果的。 另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。 降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

Quartus_II简明教程

Quartus II简明教程 Altera公司的Quartus II设计软件是用来进行SOPC(System-on-a-programmable-chip)设计的综合的设计环境。本教程适用于Quartus II软件的新用户,介绍使用Quartus II软件的进行FPGA设计的基本方法。需要注意,本教程并不是Quartus II软件的详尽的参考手册。 本教程包含的主要内容: 1、典型的FPGA设计流程; 2、开始 3、新建project 4、设计输入 5、编译 6、引脚分配 7、仿真 8、编程、配置FPGA器件 9、板级调试

1、 典型的FPGA 设计流程 计算机辅助设计(Computer Aided Design ,CAD )软件的使用使得使用可编程逻辑器件(Programmable Logic Device ,PLD)器件(比如Field Programmable Gate Array ,FPGA)进行数字逻辑电路设计变得非常容易。使用CAD 软件进行FPGA 设计的典型流程如图1所示。 图1 FPGA 设计的典型设计流程 Quartus II 软件支持以上设计流程的所有阶段。本教程介绍Quartus II 软件的基本特征。 2、 开始 在Quartus II 软件中设计的每个电路或者子电路都叫做项目(Project )。Quartus II 软件每次只能打开一个Project ,并且一个Project 的所有信息都必须保存在同一个文件夹。为了开始一个新逻辑电路的设计,首先第一步就是新建一个文件夹来保存此Project 的文件。为了保存本教程的设计项目Project ,新建文件夹D:\introtutorial 。本教程运行的例子是一个简单两路开关控制电路。 启动Quartus II 软件,会打开如图2所示启动画面。启动画面中包含了使用Quartus II 软件所需要的

Cool Edit Pro 图文教程

Cool Edit Pro 图文教程 作者:Cool Edit 来源:本站整理 发布时间:2008-12-12 14:38:03 非常出色的数字音乐编辑器和MP3制作软件。不少人把Cool Edit 形容 为音频“绘画”程序。你可以用声音来“绘”制:音调、歌曲的一部分、声音、弦乐、颤音、噪音或是调整静音。而且它还提供有多种特效为你的作品增色:放大、降低噪音、压缩、扩展、回声、失真、延迟等。你可以同时处理多个文件,轻松地在几个文件中进行剪切、粘贴、合并、重叠声音操作。使用它可以生成的声音有:噪音、低音、静音、电话信号等。 该软件还包含有CD 播放器。其他功能包括:支持可选的插件;崩溃恢复;支持多文件;自动静音检测和删除;自动节拍查找;录制等。另外,它还可以在AIF 、AU 、MP3、Raw PCM 、SAM 、VOC 、VOX 、WAV 等文件格式之间进行转换,并且能够保存为RealAudio 格式。 下载地址: https://www.sodocs.net/doc/f52292979.html,/soft/2832.html 一、 编辑界面与菜单命令 首先,启动Cool Edit Pro 2.0或者 2.1 ,可以看到如下界面。

如果看到的是上图,就点一下左上角指示位置切换为波形编辑界面,如下图所示。对于初学者而言,使用波形界面编辑,既能享受到这个软件的强大功能,也不会被众多的功能搞得眼花缭乱。

常用菜单命令介绍: 文件菜单:此菜单中包含了常用的新建、打开、关闭、存储、另存为、追加等命令。 追加:是将打开的音频文件接在已打开的文件尾部,这样2个音频文件就拼接成一个大的音频波形文件了,适合于进行多个录音的拼接,注意拼接的文件格式要相同。 临时空间设置:进行音频编辑时,会产生大量的临时文件,让电脑运行速度减慢,我们可以在这里清空它。 编辑菜单:此菜单中包含了一些常用的复制、粘帖、删除、格式、定位、提示、转换等命令。 开启撤销/重做:可以撤销上一步进行的操作。 重复上次操作:重复最后一次操作命令。 设置当前剪贴板:可以选择当前使用的剪贴板。Cool Edit Pro自己有5个,再加Windows 系统的1个,一共可以选择6个剪贴板,但一次只能选1个。 复制、裁剪和粘帖的功能相信大家都知道。 粘贴为新的:可以将剪贴板中的文件粘帖为新文件。 混合粘贴:将剪贴板中的波形内容与当前波形文件混合。如下图:

相关主题