搜档网
当前位置:搜档网 › 《电子技术基础》习题三答案

《电子技术基础》习题三答案

《电子技术基础》习题三答案
《电子技术基础》习题三答案

《电子技术基础》习题三答案

一、单项选择题(本大题共30小题,每小题2分,共60分)

1、测得处于放大状态的某三极管当I B=30A时,I C=2.4mA;

I B=40A时,I C=3mA;该三极管的交流放大倍数为( B )

A、80

B、60

C、75

D、100

2、图示三极管应用电路中,三极管的U BE= 0.6V,=50,如果近

似认为三极管集电极与发射极间饱和电压U CES≈ 0,则三极管的集电极电流I C ( C )。

A、等于5mA

B、等于3mA

C、约等于4mA

D、等于0

3、图示三极管应用电路中,三极管工作在 ( C )。

A、截止区

B、饱和区

C、放大区

D、击穿区

R B

I B

b =50+12V

114kΩ

I C

4、测得放大电路中某三极管各管脚对参考点的电位分别为:管脚①2.3V,管脚②3V,管脚③-9V,

则可判定( D )。

A、该三极管是锗管且管脚①是发射极

B、该三极管是硅管且管脚③是发射极

C、该三极管是硅管且管脚①是发射极

D、该三极管是硅管且管脚②是发射极

5、增强型NMOS管的电路符号是下图中的( A )

B

A B C D

6、图示差动电路,在电路非理想对称的条件下,增大R E对放大电路性能的影响是( B )。

A、提高共模电压放大倍数

B、提高共模抑制比

C、提高差模电压放大倍数

D、增加差模输入电阻

7、图示差动电路中,增加R E 对放大电路性能的影响是( C )。 A 、减小共模抑制比 B 、减小差模电压放大倍数 C 、减小共模电压放大倍数 D 、增加差模输入电阻

u

I2

8、功率放大电路如图所示,三极管的饱和压降U CES =2V ,该电路的最大效率ηmax 为( B )。 A 、78.5% B 、62.8% C 、50% D 、100%

u o

9、功率放大电路如图所示,三极管的饱和压降U CES =2V ,则负载R L 可获得的最大不失真功率P omax

为( B )。

A 、6.25W

B 、4W

C 、12.5W

D 、8W

u o

10、为了提高放大电路的效率和带负载能力,多级放大电路的输出级常采用( A )。

A 、互补对称功率放大电路

B 、三极管差动放大电路

C 、共发射极放大电路

D 、共集电极放大电路 11、图示电路中R F 引入的级间反馈类型为( C )。 A 、串联电压负反馈 B 、串联电流负反馈 C 、并联电压负反馈 D 、并联电流负反馈

u u O

12、图示电路中R F 引入的级间反馈类型为( A ) A 、串联电压负反馈 B 、串联电流负反馈 C 、并联电压负反馈 D 、并联电流负反馈

u

13、在放大电路中引入负反馈后,对放大电路性能的影响是( B )。

A 、增大放大倍数,提高放大倍数的稳定性

B 、减小放大倍数,改善非线性失真

C 、提高输入电阻,减小输出电阻

D 、减小放大倍数,消除非线性失真 14、集成稳压电源W7805应用电路如图所示,输出电压U O 的大小为( C )。 A 、5V B 、6V C 、11V D 、18V

U O

15、逻辑电路如图所示,TTL 逻辑门电路的关门电阻R OFF =0.7k ?,开门电阻R ON =2k ?,在上拉电阻

R U 取值正常的情况下,输出端F 的逻辑表达式为( A )。

A 、F A

B

C =+ B 、F AB = C 、F AB C =+

D 、F ABC =

F

16、三态门电路如图所示,则F 的逻辑表达式为( D )。

A 、F AE BE =+

B 、F AE BE =+

C 、F AE BE =+

D 、F A

E BE =+

F

E

17、图示逻辑电路中,已知TTL 门电路的关门电阻R OFF =0.7k Ω,开门电阻R ON =2k Ω,则输出输出F

的逻辑表达式为( B )。

A 、F ABC =

B 、F

C = C 、F ABC =

D 、F A BC =+

A C

B

F

&

&

10k Ω

18、对TTL 与非门电路多余的输入端子,不可以( C ).

A 、接高电位

B 、与有用输入端子并联

C 、接地

D 、通过10k Ω电阻接地 19、TTL 逻辑电路如图所示中、输出F 的高、低电平值约为( D )。 A 、OH OL 5V,0V U U == B 、OH OL 3.6V,0.3V U U == C 、OH OL 15V,0V U U == D 、OH OL 15V,0.3V U

U ==

F

20、时序逻辑电路如图(a)所示,设D 触发器的初始状态为“0”,在时钟脉冲CP 作用下Q 端的

波形为图(b)中的( D )。

Q

C1

1D Q

CP

CP

Q Q

Q Q

C.D.A.B.图(a)

图(b)

21、JK 触发器组成的电路如图(a)所示,设触发器的初始状态为“0”,时钟脉冲CP 的波形如图(b)中所示,则输出Q 的波形为图(b)中的( A )。

CP

Q Q

Q Q

A.B.C.D.图(a)

图(b)

22、555集成定时器构成的应用电路如图所示,当开关S 接通后扬声器( A )。 A 、将会一直发出声响 B 、发出声响一段时间后自动停止

C 、永远不会发出声响

D 、开始不会发出声响,延时一段时间后会一直发出声响

CC

C

23、由555集成定时器构成的应用电路如图所示,当把按钮SB 按下并迅速释放后,发光二极管

( C )。

A 、将会一直发光

B 、永远不会发光

C 、发光一段时间后自动熄灭

D 、开始不发光,过一段时间后一直发光

20k 1

24、具有定时功能的电路是( C )。

A 、多谐振荡器

B 、双稳态触发器

C 、单稳态触发器

D 、施密特触发器

25、某10位数模转换器,当输入二进制数为0000000001时,输出为0.01V ,若输入二进制数为

010*******时,输出将为( C )。

A 、0.7325V

B 、1.465V

C 、2.93V

D 、5.86V

26、在数字系统中,为了把模拟量转换为数字量,应采用的集成器件是( B )。 A 、D/A 转换器 B 、A/D 转换器 C 、计数器 D 、译码器

27、在数字系统中,为了把数字量转换为模拟量,应采用的集成器件是( A )。 A 、D/A 转换器 B 、A/D 转换器 C 、计数器 D 、译码器 28、存储容量为8k 8?的ROM ,其地址线数和位线数分别为( D )。 A 、12和7 B 、8和12 C 、8和13 D 、13和8

29、为了将1k ×4的RAM 扩展为4k ×8的RAM ,所需的1k ×4的RAM 片数为( D ) A 、2片 B 、4片 C 、6片 D 、8片

30、交流放大电路如图所示,已知三级管β=100,U BE = 0.6V ,其余参数值如图中所示,电压放大倍数A u 为( B )

A 、-0.99

B 、0.99

C 、-1.98

D 、1.98

二、判断题(本大题共20小题,每小题2分,共40分,正确填“T ”,错误填“F ”)

1、各种比较器的输出只有两种状态。( T )

2、微分运算电路中的电容器接在电路的反相输入端。( T )

3、理想运放的两个重要结论是虚短与虚地。( F )

4、集成运放一般分为两个工作区,它们分别是线性与非线性区。( T )

5、分析集成运放的非线性应用电路时,不能使用的概念是虚断。( F )

6、集成运放的反相输入端为虚地时,同相端所接的电阻起平衡作用。( T )

7、绝缘栅型场效应管的输入电流不为零。( F )

8、正弦电流经过二极管整流后的波形为仍为正弦。( F )

9、若使三极管具有电流放大能力,必须满足的外部条件是发射结反偏、集电结反偏。( F )

10、N型半导体中的多子是带负电的自由电子载流子,P型半导体中的多子是带正电的空穴载流子,因此说N型半导体带负电,P型半导体带正电。( F )

11、放大电路中的输入信号和输出信号的波形总是反相关系。( F )

12、“虚地”现象只存在于反相的线性应用运放电路中。( T )

13、射极输出器的电压放大倍数等于1,因此它在放大电路中作用不大。( F )

14、集成运放使用时不接负反馈电路中的电压增益称为开环电压增益。( F )

15、设置静态工作点的目的是让交流信号叠加在直流量上全部通过放大器。( T )

16、“虚地”是指该点与“地”点相接后具有“地”点的电位。( F )

17、微变等效电路不能进行静态分析,也不能用于功放电路分析。( T )

18、集成运放在开环状态下输入与输出之间存在线性关系。( F )

19、微变等效电路中不但有交流量,也存在直流量。( F )

20、理想运放构成的线性应用电路电压增益与运放本身的参数无关。( F )

电子技术基础考试试题及参考答案

电子技术基础考试试题及参考答案 试题 一、填空题(每空1分,共30分) 1.硅二极管的死区电压为_____V,锗二极管的死区电压为_____V。 2.常用的滤波电路主要有_____、_____和_____三种。 3.晶体三极管的三个极限参数为_____、_____和_____。 4.差模信号是指两输入端所施加的是对地大小_____,相位_____的信号电压。 5.互补对称推挽功率放大电路可分成两类:第一类是单电源供电的,称为_____电路,并有_____通过负载输出;第二类是双电源供电的,称为_____电路,输出直接连接负载,而不需要_____。 6.功率放大器主要用作_____,以供给负载_____。 7.集成稳压电源W7905的输出电压为_____伏。 8.异或门的逻辑功能是:当两个输入端一个为0,另一个为1时,输出为_____;而两个输入端均为0或均为1时,输出为_____。 9.(1111)2+(1001)2=( _____ )2(35)10=( _____ )2 (1010)2–(111)2=( _____ )2(11010)2=( _____ )10 (1110)2×(101)2=( _____ )2 10.逻辑函数可以用_____、_____、_____等形式来表示。 11.组合逻辑电路包括_____、_____、_____和加法器等。 二、判断题(下列各题中你认为正确的,请在题干后的括号内打“√”,错误的打“×”。全打“√”或全打“×”不给分。每小题1分,共10分) 1.放大器采用分压式偏置电路,主要目的是为了提高输入电阻。() 2.小信号交流放大器造成截止失直的原因是工作点选得太高,可以增大R B使I B减小,从而使工作点下降到所需要的位置。() 3.对共集电极电路而言,输出信号和输入信号同相。() 4.交流放大器也存在零点漂移,但它被限制在本级内部。() 5.同相运算放大器是一种电压串联负反馈放大器。() 6.只要有正反馈,电路就一定能产生正弦波振荡。() 7.多级放大器采用正反馈来提高电压放大倍数。() 8.TTL集成电路的电源电压一般为12伏。() 9.流过电感中的电流能够突变。() 10.将模拟信号转换成数字信号用A/D转换器,将数字信号转换成模拟信号用D/A转换器。() 三、单选题(在本题的每小题备选答案中,只有一个答案是正确的,请把你认为正确答案的代号填入题干后的括号内,多选不给分。每小题2分,共26分) 1.用万用表测得某电路中的硅二极管2CP的正极电压为2V,负极电压为1.3V,则此二极管所处的状态是() A.正偏B.反偏C.开路D.击穿 2.放大器的三种组态都具有() A.电流放大作用B.电压放大作用 C.功率放大作用D.储存能量作用 3.下列各图中,三极管处于饱和导通状态的是()

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

电子技术基础l练习习题答案(1)

第1章检测题(共100分,120分钟) 一、填空题:(每空0.5分,共25分) 1、N型半导体是在本征半导体中掺入极微量的五价元素组成的。这种半导体内的多数载流子为自由电子,少数载流子为空穴,不能移动的杂质离子带正电。P 型半导体是在本征半导体中掺入极微量的三价元素组成的。这种半导体内的多数载流子为空穴,少数载流子为自由电子,不能移动的杂质离子带负电。 2、三极管的内部结构是由发射区、基区、集电区区及发射结和集电结组成的。三极管对外引出的电极分别是发射极、基极和集电极。 3、PN结正向偏置时,外电场的方向与内电场的方向相反,有利于多数载流子的 扩散运动而不利于少数载流子的漂移;PN结反向偏置时,外电场的方向与内电场的方向一致,有利于少子的漂移运动而不利于多子的扩散,这种情况下的电流称为反向饱和电流。 4、PN结形成的过程中,P型半导体中的多数载流子由P向N区进行扩散,N 型半导体中的多数载流子由N向P区进行扩散。扩散的结果使它们的交界处建立起一个空间电荷区,其方向由N区指向P区。空间电荷区的建立,对多数载流子的扩散起削弱作用,对少子的漂移起增强作用,当这两种运动达到动态平衡时,PN结形成。 5、检测二极管极性时,需用万用表欧姆挡的R×1K档位,当检测时表针偏转度较大时,与红表棒相接触的电极是二极管的阴极;与黑表棒相接触的电极是二极管的阳极。检测二极管好坏时,两表棒位置调换前后万用表指针偏转都很大时,说明二极管已经被击穿;两表棒位置调换前后万用表指针偏转都很小时,说明该二极管已经绝缘老化。 7、稳压管是一种特殊物质制造的面接触型硅晶体二极管,正常工作应在特性曲线的反向击穿区。 二、判断正误:(每小题1分,共10分) 1、P型半导体中不能移动的杂质离子带负电,说明P型半导体呈负电性。(错) 2、自由电子载流子填补空穴的“复合”运动产生空穴载流子。(对) 3、用万用表测试晶体管时,选择欧姆档R×10K档位。(错) 4、PN结正向偏置时,其内外电场方向一致。(PN结反向偏置时,其内外电场方向一致)(错) 5、无论在任何情况下,三极管都具有电流放大能力。

电子技术基础期末考试考试题及答案

电子技术基础期末考试考试题及答 案 部门: xxx 时间: xxx 整理范文,仅供参考,可下载自行编辑

触发器,输入信号=0,A.Q=0 B.Q=0C.=0 D.=1脉冲作用下, A.1 B.D C.0 D. 9.下图所示可能是鈡控同步RS 触发器真值表的是<) 10.电路如下图所示,若初态都为0,则的是<) 11.五位二进制数能表示十进制数的最大值是<) A.31B.32C.10 D.5 12.n 个触发器可以构成最大计数长度为的计数器<) A.n B.2n C.n2 D.2n 13.一个4位二进制加法计数器起始状态为0010,当最低位接收到10个脉冲时,触发器状态为<) A.0010 B.0100 C.1100 D.1111 14.下图所示的电路中,正确的并联型稳压电路为<) 15.在有电容滤波的单相桥式整流电路中,若要使输出电压为60V ,则变压器的次级电压应为<) A.50VB.60VC.72VD.27V 二、判断题<本大题共5小题,每小题3分,共15分)<对打√,错打×) 16.P 型半导体中,多数载流子是空穴< ) 17.环境温度升高时,半导体的导电能力将显著下降< ) 18.二极管正偏时,电阻较小,可等效开关断开<) 19.稳压二极管工作在反向击穿区域<) 20.光电二极管是一种把电能转变为光能的半导体器件<)

注:将 选择题 和判断 题答案 填写在 上面 的表 格 里, 否则 该题不得分 三、填空题<本大题共5小题,每小题4分,共20分) 21.JK触发器可避免RS触发器状态出现。与RS触发器比较,JK触发器增加了功能; 22.寄存器存放数码的方式有和两种方式; 23.二极管的伏安特性曲线反映的是二极管的关系曲线; 24.常见的滤波器有、和; 25.现有稳压值为5V的锗稳压管两只,按右图所示方法接入电路,则 V0=。 四、应用题<本大题共3小题,共35分,要求写出演算过程) 26.<10分)某JK触发器的初态Q=1,CP的下降沿触发,试根据下图所示的CP、J、K的波形,画出输出Q 和的波形。RTCrpUDGiT 27.<9分)如下图所示电路,测得输出电压只有0.7V,原因可能是: <1)R开路;<2)RL开路;<3)稳压二极管V接反; <4)稳压二极管V短路。应该是那种原因,为什么? 28.<16分)分析下图所示电路的工作原理,要求: <1)列出状态表,状态转换图; <2)说明计数器类型。 参考答案及评分标准 一、单项选择题<本大题共15小题,每小题2分,共30分) 二、判断题<本大题共5小题,每小题3分,共15分) 三、填空题<本大题共5小题,每小题4分,共20分) 21.不确定,翻转22.并行和串行 23.VD-ID24.电容、电感、复式25.5.3V 四、应用题<本大题共3小题,共30分,要求写出演算过程) 26. 27.解:稳压二极管V接反,变成正向偏置,稳压二极管正向导通时,压降是0.7V 28.解:计数前,各触发器置0,使Q2Q1Q0=000

模拟电子技术基础试题汇总附有答案解析

模拟电子技术基础试题汇总 一.选择题 1.当温度升高时,二极管反向饱和电流将 ( A )。 A 增大 B 减小 C 不变 D 等于零 2. 某三极管各电极对地电位如图所示,由此可判断该三极管( D ) A. 处于放大区域 B. 处于饱和区域 C. 处于截止区域 D. 已损坏 3. 某放大电路图所示.设V CC>>V BE, L CEO≈0,则在静态时该三极管处于( B ) A.放大区 B.饱和区 C.截止区 D.区域不定 4. 半导体二极管的重要特性之一是( B )。 ( A)温度稳定性 ( B)单向导电性 ( C)放大作用 ( D)滤波特性 5. 在由NPN型BJT组成的单管共发射极放大电路中,如静态工作点过高,容易产生

( B )失真。 ( A)截止失真 ( B)饱和v失真 ( C)双向失真 ( D)线性失真 6.电路如图所示,二极管导通电压U D=,关于输出电压的说法正确的是( B )。 A:u I1=3V,u I2=时输出电压为。 B:u I1=3V,u I2=时输出电压为1V。 C:u I1=3V,u I2=3V时输出电压为5V。 D:只有当u I1=,u I2=时输出电压为才为1V。 7.图中所示为某基本共射放大电路的输出特性曲线,静态工作点由Q2点移动到Q3点可 能的原因是。 A:集电极电源+V CC电压变高B:集电极负载电阻R C变高 C:基极电源+V BB电压变高D:基极回路电阻R b 变高。

8. 直流负反馈是指( C ) A. 存在于RC耦合电路中的负反馈 B. 放大直流信号时才有的负反馈 C. 直流通路中的负反馈 D. 只存在于直接耦合电路中的负反馈 9. 负反馈所能抑制的干扰和噪声是( B ) A 输入信号所包含的干扰和噪声 B. 反馈环内的干扰和噪声 C. 反馈环外的干扰和噪声 D. 输出信号中的干扰和噪声 10. 在图所示电路中,A为理想运放,则电路的输出电压约为( A ) A. - B. -5V C. - D. - 11. 在图所示的单端输出差放电路中,若输入电压△υS1=80mV, △υS2=60mV,则差模输 入电压△υid为( B ) A. 10mV B. 20mV C. 70mV D. 140mV 12. 为了使高内阻信号源与低阻负载能很好地配合,可以在信号 源与低阻负载间接入 ( C )。 A. 共射电路 B. 共基电路

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

电子技术基础试题

。电子技术基础试题库(第四版) 第一章:半导体二极管 一、填空题 1、根据导电能力来衡量,自然界的物质可以分为______________、__________和__________三类。 导体、绝缘体、半导体 2、PN节具有__________特性,即加正向压时__________,加反向压时__________。 单向导电特性、导通、截止 3、硅二极管导通时的正向管压降约__________V,锗二极管导通时的正向管压降约__________V。 、 4、使用二极管时,应考虑的主要参数是__________、__________。 最大整流电流、最高反向工作电压 5、在相同的反向电压作用下,硅二极管的反向饱和电流常__________于锗二极管的反向饱和电流,所以硅二极管的热稳定性较__________ 小、好 6、根据导电能力来衡量,自然界的物质可分为_______ 、_________和__________三类。导体, 绝缘体,半导体 7、PN结具有_____________性能,即加正向电压时PN结________,加反向电压时的PN结 _________。单向导电性,导通,截止 二,判断题 1、半导体随温度的升高,电阻会增大。()N 2、二极管是线性元件。()N 3、不论是哪种类型的半导体二极管,其正向电压都为0.3V左右。()N 4、二极管具有单向导电性。()Y 5、二极管的反向饱和电流越大,二极管的质量越好。()N 6、二极管加正向压时一定导通()N 7、晶体二极管是线性元件。()N 8、一般来说,硅晶体二极管的死区电压小于锗晶体二极管的死区电压。()Y 三、选择题 1、PN结的最大特点是具有()C A、导电性B、绝缘性C、单相导电性 2、当加在硅二极管两端的正向电压从0开始逐渐增加时,硅二极管()C A、立即导通B、到0.3V才开始导通C、超过死区压才开始导通D、不导通 3、当环境温度升高时,二极管的反向电流将()A A、增大B、减少C、不变D、先变大后变小 4、半导体中传导电流的载流子是()。C A、电子 B、空穴 C、电子和空穴 5、P型半导体是()B A、纯净半导体 B、掺杂半导体 C、带正电的 四、综合题

模拟电子技术基础试题汇总附有答案.

模拟电子技术基础试题汇总 1.选择题 1.当温度升高时,二极管反向饱和电流将 ( A )。 A 增大 B 减小 C 不变 D 等于零 2. 某三极管各电极对地电位如图所示,由此可判断该三极管( D ) A. 处于放大区域 B. 处于饱和区域 C. 处于截止区域 D. 已损坏 3. 某放大电路图所示.设V CC>>V BE, L CEO≈0,则在静态时该三极管 处于( B ) A.放大区 B.饱和区 C.截止区 D.区域不定 4. 半导体二极管的重要特性之一是( B )。 ( A)温度稳定性 ( B)单向导电性 ( C)放大作用 ( D)滤波特性 5. 在由NPN型BJT组成的单管共发射极放大电路中,如静态工 作点过高,容易产生

( B )失真。 ( A)截止失真( B)饱和v失真( C)双向失真( D)线性失真 6.电路如图所示,二极管导通电压U D=0.7V,关于输出电压的说法正确的是( B )。 A:u I1=3V,u I2=0.3V时输出电压为3.7V。 B:u I1=3V,u I2=0.3V时输出电压为1V。 C:u I1=3V,u I2=3V时输出电压为5V。 D:只有当u I1=0.3V,u I2=0.3V时输出电压为才为1V。 7.图中所示为某基本共射放大电路的输出特性曲线,静态工作点由Q2点移动到Q3点可 能的原因是 。 A:集电极电源+V CC电压变高B:集电极负载电阻R C变高 C:基极电源+V BB电压变高D:基极回路电阻 R b变高。

8. 直流负反馈是指( C ) A. 存在于RC耦合电路中的负反馈 B. 放大直流信号时才有的负反馈 C. 直流通路中的负反馈 D. 只存在于直接耦合电路中的负反馈 9. 负反馈所能抑制的干扰和噪声是( B ) A 输入信号所包含的干扰和噪声 B. 反馈环内的干扰和噪声 C. 反馈环外的干扰和噪声 D. 输出信号中的干扰和噪声 10. 在图所示电路中,A为理想运放,则电路的输出电压约为( A ) A. -2.5V B. -5V C. -6.5V D. -7.5V 11. 在图所示的单端输出差放电路中,若输入电压△υS1=80mV, △υS2=60mV,则差模输 入电压△υid为( B ) A. 10mV B. 20mV C. 70mV D. 140mV 12. 为了使高内阻信号源与低阻负载能很好地配合,可以在信 号源与低阻负载间接入 ( C )。 A. 共射电路 B. 共基电路

数字电子技术基础1答案

数字电子技术基础 模拟卷1 一、单项选择题 1、将十进制数56转换成8421BCD 码应是: (D ) A 、(56)10= (0011 1000)8421BCD B 、(56)10= (0011 1001)8421BCD C 、(56)10= (0101 1000)8421BCD D 、(56)10= (0101 0110)8421BCD 2、使晶体三极管工作于饱和区的电压条件是: (C ) A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时,输出才为1,否则输出为0,这种逻辑关系叫做: (A ) A 、同或 B 、与非 C 、异或 D 、或非 4、在功能表中×的含义是: ( D ) A 、表示高电平 B 、表示低电平 C 、高低电平都不可以 D 、高低电平都可以 5、下列4个电路中能实现AB L 逻辑关系的是: ( C ) A A ≥1 & B B B A L 1 L =1 B A =1 A B C D 6、TTL 门电路理论上的逻辑低电平为: ( B ) A 、0V B 、0.3V C 、1.4V D 、1.8V 7、下列电路中不属于时序逻辑电路的是: (B ) A 、移位寄存器 B 、译码器 C 、随机存取存储器 D 、计数器 8、下列电路中无需外加触发信号就能自动产生方波信号的电路是: ( A ) A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 D 、RS 触发器 9、下面对时序逻辑电路的描述不正确的是: (A ) A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态,存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路

电子技术基础复习题与答案

中南大学网络教育课程考试(专科)复习题及参考答案 电子技术基础 一、选择题: 1.在杂质半导体中,少子浓度主要取决于( ) (A) 掺入杂质的浓度、 (B) 材料、 (C) 温度 2.测得某PNP型三极管各极点位为:UB=-3V UE=-4V UC=-6V,则该管工作于( ) (A) 放大状态、 (B) 饱和状态、 (C) 截止状态 3.在基本共射放大电路中,若更换晶体管使β值由50变为100,则电路的放大倍数( ) (A) 约为原来的1/2倍 (B) 约为原来的2倍 (C) 基本不变 4.在OCL电路中,引起交越失真的原因是( ) (A) 输入信号过大 (B) 晶体管输入特性的非线性 (C) 电路中有电容 5.差动放大器中,用恒流源代替长尾R e是为了( ) (A) 提高差模电压增益 (B) 提高共模输入电压围 (C) 提高共模抑制比 6.若A+B=A+C,则() (A) B=C; (B) B=C;(C)在A=0的条件下,B=C 7.同步计数器中的同步是指() (A)各触发器同时输入信号;(B)各触发器状态同时改变; (C)各触发器受同一时钟脉冲的控制 8.由NPN管组成的单管基本共射放大电路,输入信号为正弦波,输出电压出现顶部被削平的失真,这种失真是() (A)饱和失真(B)截止失真(C)频率失真 9.对PN结施加反向电压时,参与导电的是() (A)多数载流子(B)少数载流子(C)既有多数载流子又有少数载流子 10.当温度增加时,本征半导体中的自由电子和空穴的数量() (A)增加(B)减少(C)不变 11.通用型集成运放的输入级采用差动放大电路,这是因为它的() A、输入电阻高 B、输出电阻低 C、共模抑制比大 D、电压放大倍数大 12.对于桥式整流电路,正确的接法是( )

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

完整版数字电子技术基础1

、单项选择题 1、将十进制数56转换成8421BCD 码应是: 2、使晶体三极管工作于饱和区的电压条件是: 系叫做: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: &下列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 数字电子技术基础 模拟卷1 A 、(56) 10= ( 0011 1000 8421BCD B 、 (56) 10= ( 0011 1001) 8421BCD C 、(56) 10= ( 0101 1000) 8421BCD D 、 (56) 10= ( 0101 0110) 8421BCD A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 A 、同或 B 、与非 C 、异或 D 、或非 4、 在功能表中刈勺含义是: A 、表示高电平 B 、表示低电平 C 、咼低电平都不可以 D 、咼低电平都可以 5、 下列4个电路中能实现L AB 逻辑关系的是: >1 =1 >1 =1 D- A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器

B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为 需要几片2114 D 、16 片 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V ,R F =R , 、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 2、描述触发器逻辑功能的方法有: 3、比较下列几个数的大小,正确的结果是: D 、(2A ) 16 >( 101101) 2 4、在下式中选出正确的逻辑代数公式: 4KX8 位, 11、已知逻辑函数 L A B D ,则其反函数F 为: A 、A BCD B 、A BCD C 、A BCD D 、 A BCD 当输入全 1时,输出电压的绝对值为: 10V C 10V ——255 B 、—— 1 256 1024 B 、 C 、 10V ——1023 1024 D 、 10V —— 1 256 号码分别填在题干的括号内。多选、少选、 错选均无分。) 1、逻辑函数L (AB AB)C 中,变量A 、 B 、C 取哪些值时, L 的值为1。 A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 ABC 取 111 A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10

电子技术基础试题及答案

电子技术基础试卷 一、填空题(20分) 1、______电路和_______电路是两种最基本的线性应用电路。 2、晶体二极管具有_______特性。 3、放大电路的分析方法有______和小信号模型分析法。 4、BJT的主要参数是__________。 5、带宽和________是放大电路的重要指标之一。 6、处理模拟信号的电子电路称为_______。 7、把整个电路中的元器件制作在一块硅基片上,构成特定功能的电子电路称为_____电路。 8、在电子电路中反馈按极性不同可分为______和_______两种。 9、判断一个放大电路中是否存在反馈,只要看该电路的输出回路与输入回路之间是否存在反馈网络,即________。 10、负反馈放大电路有四种类型:___________、 ___________、___________以及___________放大电路。 11、放大电路的实质都是_______电路。 12、放大电路可分为四种类型:_______、_______、_______和_______。 二、判断题(1—5题每题2分,6—15题每题1分,共20分) 1、图示中 R引人电压并联负反 2 图题1 2、图示中 R电流串联正反馈 e1 图题2

3、图示电路不能振荡 图题3 4、图示电路不能振荡 图题4 5、图示电路中T 1为共基极组态,T 2 为共集电极组态 图题5 6、PN结的单向导电性关键在于它的耗尽区的存在,且其宽度随外加电压而变化。 7、齐纳二极管是一种特殊二极管。 8、BJT有NPN和PNP两种类型。 9、图解法能分析信号幅值太小或工作频率较高湿的电路工作状态。 10、MOS器件主要用于制成集成电路。 11、差分放大电路中共模电压增益越小,说明放大电路的性能越好。 12、放大电路中的内部噪声与放大电路中个元器件内部载流子运动的不规则无关。 13、放大电路中直流反馈不影响静态工作点。 14、负反馈能够改善放大电路的多方面性能是由于将电路的输出量引回到输入端与输入量进行比较,从而随时对输出量进行调整。 15、在实际应用的放大电路中很少引人负反馈。 三、计算题(1题12分,2题13分,3题15分,共40分) 1、设计一反相加法器,使其输出电压V0= -7V i1+14V i2+3.5V i3+10V i4),允许使用的最大电阻为280kΩ,求各支路电阻。

模拟电子技术基础试题与答案

1、工作在放大区的某三极管,如果当IB从12μA增大到22μA时,IC从1mA 变为2mA,那么它的β约为。【】 A. 83 B. 91 C. 100 2、已知图所示电路中VCC=12V,RC=3kΩ,静态管压降 UCEQ=6V;并在输出端加负载电阻RL,其阻值为3kΩ。 选择一个合适的答案填入空。 (1)该电路的最大不失真输出电压有效值Uom ≈;【】 A.2V B.3V C.6V U =1mV时,若在不失真的条件下,减小RW, (2)当 i 则输出电压的幅值将;【】 A.减小 B.不变 C.增大 U =1mV时,将Rw调到输出电压最大且刚好不失真,若此时增大(3)在 i 输入电压,则输出电压波形将;【】 A.顶部失真 B.底部失真 C.为正弦波 (4)若发现电路出现饱和失真,则为消除失真,可将。【】 A.RW减小 B.Rc减小 C.VCC减小 3、互补输出级采用共集形式是为了使。【】 A.电压放大倍数大 B.不失真输出电压大 C.带负载能力强 4、选用差分放大电路的原因是。【】 A.克服温漂 B. 提高输入电阻 C.稳定放入倍数 5、当信号频率等于放大电路的fL 或fH时,放大倍数的值约下降到中频【】 A.0.5倍 B.0.7倍 C.0.9倍 即增益下降。【】 A.3dB B.4dB C.5dB 6、在输入量不变的情况下,若引入反馈后,则说明引入的反馈是负反馈。【】 A.输入电阻增大B.输出量增大 C.净输入量增大D.净输入量减小 7、直接耦合放大电路存在零点漂移的原因是。【】 A.电阻阻值有误差 B.晶体管参数的分散性 C.晶体管参数受温度影响 D.电源电压不稳定 8、串联型稳压电路中的放大环节所放大的对象是。【】 A. 基准电压 B 取样电压C基准电压与取样电压之差 9、为增大电压放大倍数,集成运放的中间级多采用。【】 A.共射放大电路 B. 共集放大电路C.共基放大电路 10、用恒流源取代长尾式差分放大电路中的发射极电阻Re,将使电路的【】 A.差模放大倍数数值增大 B.抑制共模信号能力增强 C.差模输入电阻增大 11、在输入量不变的情况下,若引入反馈后,则说明引入的反馈是负反馈。【】

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

《电子技术基础》1答案

一、单选题(共 30 道试题,共 60 分。) 1. 图示电路,在参数设计合理,电路能正常工作的条件下,输出电压u o的波形是( ) A. 正弦波 B. 方波 C. 三角波 D. 锯齿波 正确答案:B 满分:2 分 2. 逻辑函数可化简为() A. A B. B C. C D. D 正确答案:A 满分:2 分 3. 某10位A/D转换器的参考电压为10V,则该A/D转换器能分辨出的输入模 拟电压的最小变换量为( ) A. 4.88mV B. 9.76mV C. 19.53mV

D. 39.06mV 正确答案:B 满分:2 分 4. 运放组成的放大电路如图所示,R F引入的级间反馈类型为() A. 串联电压负反馈 B. 串联电流负反馈 C. 并联电压负反馈 D. 并联电流负反馈 正确答案:D 满分:2 分 5. 直流电源电路如图所示,变压器电压为220V/18V,稳压管稳定电压为6V,三极管U BE= 0.6V,电阻R3 = R4 = 510Ω,R P = 390Ω,输出电压u O的调节范围( )

A. A B. B C. C D. D 正确答案:B 满分:2 分6. 电路如图所示,设二极管D 1、D 2 为理想元件,则输出电压U O为( ) A. 0V B. -15V C. -15V D. 25V 正确答案:A 满分:2 分 7. 某D/A转换器的参考电压为10V,如要求其最小输出电压不大于9.766mV,则该D/A转换器的位数至少应为( ) A. 8位 B. 9位 C. 10位 D. 11位 正确答案:C 满分:2 分 8. 图示RC正弦波振荡电路的振荡频率为( )

模拟电子技术基础期末考试试题及答案 2

《模拟电子技术》模拟试题一 一、填空题:(每空1分共40分) 1、PN结正偏时(导通),反偏时(截止),所以PN结具有(单向) 导电性。 2、漂移电流是(温度)电流,它由(少数)载流子形成,其大小与(温 度)有关,而与外加电压(无关)。 3、所谓理想二极管,就是当其正偏时,结电阻为(0 ),等效成一条直线;当其 反偏时,结电阻为(无穷),等效成断开; 4、三极管是(电流)控制元件,场效应管是(电压)控制元件。 5、三极管具有放大作用外部电压条件是发射结(正偏),集电结(反偏)。 6、当温度升高时,晶体三极管集电极电流Ic(变小),发射结压降(不变)。 7、三极管放大电路共有三种组态分别是(共基)、(共射)、(共集) 放大电路。 8、为了稳定三极管放大电路的静态工作点,采用(电压并联)负反馈,为了稳 定交流输出电流采用(串联)负反馈。 9、负反馈放大电路和放大倍数AF=(1/(1/A+F)),对于深度负反馈放大电路 的放大倍数AF=(1/ F )。 10、带有负反馈放大电路的频带宽度BWF=()BW,其中BW=(), ()称为反馈深度。 11、差分放大电路输入端加上大小相等、极性相同的两个信号,称为()信号, 而加上大小相等、极性相反的两个信号,称为()信号。 12、为了消除乙类互补功率放大器输出波形的()失真,而采用()类互 补功率放大器。 13、OCL电路是()电源互补功率放大电路; OTL电路是()电源互补功率放大电路。 14、共集电极放大电路具有电压放大倍数(),输入电阻(),输出电阻 ()等特点,所以常用在输入级,输出级或缓冲级。 15、差分放大电路能够抑制()漂移,也称()漂移,所以它广泛应用于() 电路中。 16、用待传输的低频信号去改变高频信号的幅度称为(),未被调制的高频信 号是运载信息的工具,称为()。

数字电子技术基础习题及答案

《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)

中职 电子技术基础考试题

电子技术期中考试试题卷 姓名________ 班级_________学号___________ 时间:90分钟 满分:100分 一、 选择题 1.在杂质半导体中,少子浓度主要取决于( ) (A): 掺入杂质的浓度、 (B): 材料、 (C): 温度 2.测得某PNP 型三极管各极点位为:UB=-3V UE=-4V UC=-6V ,则该管工作于( ) (A): 放大状态 、 (B): 饱和状态、 (C): 截止状态 3.在基本共射放大电路中,若更换晶体管使β值由50变为100,则电路的放大倍数( ) (A): 约为原来的1/2倍 、 (B): 约为原来的2倍、 (C): 基本不变 4.由NPN 管组成的单管基本共射放大电路,输入信号为正弦波,输出电压出现顶部被削平的失真,这种失真是 ( ) (A )饱和失真 (B ) 截止失真 (C ) 频率失真 5.当温度增加时,本征半导体中的自由电子和空穴的数量 ( ) (A )增加 (B )减少 (C )不变 6.对于桥式整流电路,正确的接法是( ) 7.晶体三极管工作在饱和状态时,满足( ) A. 发射结、集电结均正偏 B. 发射结、集电结均反偏 C. 发射结正偏、集电结反偏 D. 发射结反偏、集电结正偏 8.稳压二极管正常工作时应工作在( )区。 A. 死区 B. 正向导通 C. 反向截止 D. 反向击穿 9、某负反馈放大电路框图如下所示,则电路的增益i o F X X A 为( )。 A 、100 B 、10 C 、90 D 、0.09 10.图示电路中,AB 之间电压V 对应的方程式为( )。 A.V=IR+E B.V=IR-E C.V=-IR-E D. -V=IR+E 二、填空题: 1.N 型半导体中多数载流子是_______少数载流子是______。 2.PN 结具有_________特性。 3.利用半导体材料的某种敏感特性,如_______ 特性和_______ 特性,可以制成热敏电阻和光敏元件。 4.画放大器直流通路时,_______视为开路,画交流通路时,藕合电容、旁路电容和直流电压视为_______。 5.理想运放的输出电阻是________,输入电阻是________。 6、理想的二极管,其正向电阻约为 ,反向电阻约为 。 7、 晶体三极管工作在 区时,关系式I C =βI B 才成立,而工作在 区时,I C =0。 8、单相桥式整流电路,若其输入交流电压有效值为10V ,则整流后的输出电压平均值等于______。 X o

相关主题