搜档网
当前位置:搜档网 › VHDL试卷6套

VHDL试卷6套

VHDL试卷6套
VHDL试卷6套

一、填空题(20分,每空格1分)

1、一个完整的VHDL语言程序通常包含实体(entity),构造体(architecture),

配置(configuration),包集合(package)和库(library) 5各部分。

2、在一个实体的端口方向说明时,输入使用in表示,那么构造体内部不能再使用的输出是用 out 表示;双向端口是用 inout 表示;构造体内部可再次使用的输出是用 buffer 表示;

3、一个构造体可以使用几个子结构,即相对比较独立的几个模块来构成。VHDL语言可以有以下3种形式的子结构描述语句: BLOCK 语句结构; PROCESS 语句结构和SUBPROGRAMS 结构。

4、VHDL的客体,或称数据对象包括了常数、变量variable 和信号signal 。

5、请列出三个VHDL语言的数据类型,如实数、位等。位矢量,字符,布尔量。

6、设D0为'0', D1为'0', D2为'1', D3为'0', D0 & D1 & D2 & D3的运算结果是“0010”,D3 & D2 & D1 & D0的运算结果是“0100”。

7、构造体的描述方式包括三种,分别是寄存器传输(RTL)描述方法或称数据流;构造体的结构描述方式和构造体的行为描述方式。

1、传统的系统硬件设计方法是采用自上而下(top down)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自下而上(bottom up)的设计方法。(×)传统的系统硬件设计方法是采用自下而上(bottom up)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自上而下(top down)的设计方法

2、VHDL可以采用层次化的设计,一个高层的结构体中可以调用低层的实体(√)

3、一个VHAL程序中仅能使用一个进程(process)语句。(×)

可以使用多个进程语句。

4、VHDL语言的预算操作包括了逻辑运算符、关系运算符、乘法运算符等,它们三者的优先级是相同的。

(×)

逻辑运算符<关系运算符<乘法运算

1、试举出两种可编程逻辑器件 CPLD 、 FPGA 。

2、VHDL程序的基本结构包括库、程序包、实体

和结构体。

3、more_ _11标识符合法吗?不合法。8bit标识符合法吗?不合法。

variable标识符合法吗?不合法。

4、信号的代入通常用 <= ,变量用 := 。

5、表示‘0’‘1’;两值逻辑的数据类型是 bit(位),表示‘0’‘1’‘Z’等九值逻辑的数据类型是 std_logic(标准逻辑),表示空操作的数据类型是 NULL 。

6、定义一个信号a,数据类型为4位标准逻辑向量 signal a : std_logic_vector(3 downto 0) 定义一个变量b,数据类型为2位位向量 variable b : bit_vector(1 downto 0) 。

7、<=是小于等于关系运算符,又是赋值运算操作符。

8、设D0为'1', D1为'0', D2为'1', D3为'0', D3 & D2 & D1 & D0的运算结果是“0101”,D1 & D2 & D3 & D4的运算结果是“1010”。

1、进程语句中,不管在何时,process语句后面必须列出敏感信号(×)

包含wait语句的进程语句可不列出敏感信号。

2、VHDL语言与计算机C语言的没有差别。(×)

●运行的基础

–计算机语言是在CPU+RAM构建的平台上运行

–VHDL设计的结果是由具体的逻辑、触发器组成的数字电路

●执行方式

–计算机语言基本上以串行的方式执行

–VHDL在总体上是以并行方式工作

验证方式

–计算机语言主要关注于变量值的变化

–VHDL要实现严格的时序逻辑关系

3、在结构体中定义一个全局变量(VARIABLES),可以在所有进程中使用。(×)

“变量(VARIABLES)”改为“信号”。

4、Moore状态机输出只是状态机当前状态的函数,Mealy状态机输出为有限状态机当前值和输入值的函数(√)

2、VHDL程序的基本结构至少应包括实体、结构体两部分和对

库的引用声明。

3、1_Digital标识符合法吗?否, \12 @ +\ 呢?合法。

4、在VHDL的常用对象中,信号、变量可以被多次赋予不同的值,

常量只能在定义时赋值。

5、实体的端口模式用来说明数据、信号通过该端口的传输方向,端口模式有 in 、 Out 、 inout 、 buffer 。

6、VHDL语言中std_logic类型取值‘Z’表示高阻,取值‘X’表示不确定。

7、整型对象的范围约束通常用 range 关键词,位矢量用 downto/to 关键词。

8、位类型的初始化采用(字符/字符串)字符、位矢量用字符串。

9、进程必须位于结构体内部,变量必须定义于进程/包/子程序内部。

10、并置运算符 & 的功能是把多个位或位向量合并为一个位向量。

11、进程执行的机制是敏感信号发生跳变。

12、判断CLK信号上升沿到达的语句是 if clk’event and clk = ‘1’ then .

13、 IF 语句各条件间具有不同的优先级。

14、任何时序电路都以时钟为驱动信号,时序电路只是在时钟信号的边沿到来时,

其状态才发生改变。

二、判断对错并改正(12分,每小题3分)

1、CONSTANT T2:std_logic <= ’0’;(错)改正:把<= 换为:= 。

2、若某变量被定义为数值型变量,未赋初始值时默认值为‘0’。

(错)改正:把‘0’的单引号去掉。

3、在结构体中定义一个全局变量(V ARIABLES),可以在所有进程中使用。

(错)改正:“变量(V ARIABLES)”改为“信号”。

1、VHDL是否区分大小写?不区分。

2、digital_ _8标识符合法吗?不合法。12_bit标识符合法吗?不合法。

signal标识符合法吗?不合法。

3、结构体有三种描述方式,分别是数据流、行为、

和结构化。

4、请分别列举一个常用的库和程序包library ieee 、use ieee.std_logic_1164.all 。

5、一个信号处于高阻(三态)时的值在VHDL中描述为‘Z’。

6、将一个信号width定义为一个4位标准逻辑向量为

signal width : std_logic_vector(3 downto 0) 。

7、/=是不相等操作符,功能是在条件判断是判断操作符两端不相等。

8、设D0为'0', D1为'1', D2为'1', D3为'0', D3 & D2 & D1 & D0的运算结果是

“0110”,(D3 or D2)and(D1 and not D0)的运算结果是:‘1’。

9、赋值语句是(并行/串行)并行执行的,if语句是(并行/串行)串行执行

的。

10、请列举三种可编程逻辑器件: EEPROM 、 GAL 、 FPGA 。

1、早期的可编程逻辑器件包括 PLA 、 PAL 、 GAL 。

2、基于乘积项技术构造的可编程逻辑器件叫做 CPLD ,基于查找表技术构造的可编程逻辑器件叫做 FPGA 。

3、VHDL程序的基本结构包括库、程序包、实体

和结构体。

4、8digital标识符合法吗?不合法。

5、信号的代入通常用 <= ,变量用 := 。

6、标准逻辑(std_logic)是一个具有九值逻辑的数据类型。

7、定义一个变量a,数据类型为4位位向量 variable a : bit_vector(3 downto 0) 。

8、<=是小于等于关系运算符,又是赋值运算操作符。

9、设D0为'1', D1为'1', D2为'1', D3为'0',“1110”是 D3 & D2 & D1 & D0的运算结果。

10、IF语句根据指定的条件来确定语句执行顺序,共有3种类型:用于门闩控制的IF语句、用于二选一控制的IF语句、用于多选择控制的IF语句。

试卷1

填空题

1.2000年推出的Pentium4微处理器芯片的集成度达(4200 )万只晶体管。

2.一般把EDA技术的发展分为(CAD)、(CAE )和(EDA )三个阶段。3.在EDA发展的(CAD )阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PCB)布局布线等工作。

4.在EDA发展的(CAE )阶段,人们可以将计算机作为单点设计工具,并建立各种设计单元库,开始用计算机将很多单点工具集成在一起使用。

5.EDA设计流程包括(设计准备)、(设计输入)、(设计处理)和(器件编程)四个步骤。

6.EDA的设计验证包括(功能仿真)、(时序仿真)和(器件测试)三个过程。7.EDA的设计输入主要包括(文本输入方式)、(图形输入方式)和(波形输入方式)。8.文本输入是指采用(硬件描述语言)进行电路设计的方式。

9.功能仿真是在设计输入完成之后,选择具体器件进行编译之前进行的逻辑功能验证。前仿真

10.时序方针是在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为(后仿真)或(延时仿真)。

11.当前最流行的并成为IEEE标准的硬件描述语言包括(VHDL )和(Verilog HDL )。12.采用PLD进行的数字系统设计,是基于芯片的设计或称之为(自底向上)的设计。13.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为(自顶向下)的设计法。14.EDA 工具大致可以分为(设计输入编译器)、(仿真器)、(HDL综合器)、(适配器)以及(下载器)等5个模块。

15.将硬件描述语言转化为硬件电路的重要工具软件称为(HDL综合器)。

单项选择题

1.将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为( 1 )。①设计输入②设计输出③仿真④综合

2.一般把EDA技术的发展分为( 2 )个阶段。① 2 ② 3 ③ 4 ④ 5

3.AHDL属于( 1 )描述语言。

①普通硬件②行为③高级④低级

4.VHDL属于( 2 )描述语言。

①普通硬件②行为③高级④低级

5.包括设计编译和检查、逻辑优化和综合、适配合分割、布局和布线、生成编成数据文件等操作的过程称为( 2 )。

①设计输入②设计处理③功能仿真④时序仿真

6.在设计输入完成之后,应立即对设计文件进行( 2 )。

①编辑②编译③功能仿真④时序仿真

7.在设计处理过程中,可产生供器件编程使用的数据文件,对于CPLD来说是产生( 1 )文件。①熔丝图②位流数据③图形④仿真

11.在C语言的基础上演化而来的硬件描述语言是( 2 )

①VHDL②Verilog HDL ③AHL ④CPUL

12.基于PLD芯片的设计称之为( 1 )的设计。

①自底向上②自顶向下③积木式④顶层

13.基于硬件描述语言HDL的数字系统设计目前最常用的设计法称为( 2 )设计法。①自底向上②自顶向下③积木式④顶层

14.在EDA 工具中,能将硬件描述语言转化为硬件电路的重要工具软件称为( 2 )。

①仿真器②综合③适配器④下载器

15.在EDA 工具中,能完成在目标系统器件上布局布线软件称为( 3 )。①仿真器②综合器③适配器④下载器

答案:

1.4200

2.CAD、CAE、EDA

3.CAD 4.CAE

5.设计准备、设计输入、设计处理、器件编程6.功能仿真、时序仿真、器件测试7.文本输入方式、图形输入方式、波形输入方式8.硬件描述语言

9.前仿真

10.后仿真、延时仿真11.VHDL、Verilog HDL 12.自底向上(Bottom-Up)13.自顶向下(Top-Down)

14.设计输入编辑器、仿真器、HDL综合器、适配器(或布局布线器)、下载器15.HDL 综合器

单项选择题

1.①2.②3.①4.②5.②6.②7.①8.

②9.②10.①11.②12.①13.②14.②15.③

试卷2

填空题:

1、一般将一个完整的VHDL程序称为设计实体

2、VHDL设计实体的基本结构由(库)、(程序包)、(实体)、(结构体)和(配置)组成。

3、(实体)和(结构体)是设计实体的基本组成部分,它们可以构成最基本的VHDL 程序。

4、根据VHDL语法规则,在VHDL程序中使用的文字、数据对象、数据类型都需要(事先声明)。

5、在VHDL中最常用的库是(IEEE )标准库,最常用的数据包是(STD_LOGIC_1164)数据包。

6、VHDL的实体由(实体声明)部分和(结构体)组成。

7、VHDL的实体声明部分指定了设计单元的(输入出端口)或(引脚),它是设计实体对外的一个通信界面,是外界可以看到的部分。

8、VHDL的结构体用来描述实体的(逻辑结构)和(逻辑功能),它由VHDL语句构成,是外界看不到的部分。

9、在VHDL的端口声明语句中,端口方向包括(输入)、(输出)、(双向)和(缓冲)。

10、VHDL的标识符名必须以(字母开头),后跟若干字母、数字或单个下划线构成,但最后不能为(下划线)

11、VHDL的数据对象包括(常量)、(变量)和(信号),它们是用来存放各种类型数据的容器。

12、为信号赋初值的符号是(:=);程序中,为变量赋值的符号是(:=),为信号赋值的符号是(<=)

(复合类型)、(存储类型)和(文件类型)。14、13、VHDL的数据类型包括(标量类型)、

在VHDL中,标准逻辑位数据有(九)种逻辑值。15、VHDL的操作符包括(逻辑)、(算术)、(关系)和(并置)四类。选择题:

1、IEEE于1987年公布了VHDL的(A )语法标准。

A、IEEE STD 1076-1987;

B、RS232;

C、IEEE STD_LOGIC_1164;

D、IEEE STD 1076-1993;

2、IEEE于1987年公布了VHDL的(D)语法标准。

3、A、IEEE STD 1076-1987; B、RS232;

C、IEEE STD_LOGIC_1164;

D、IEEE STD 1076-1993;

3、VHDL的设计实体可以被高层次的系统(D ),成为系统的一部分。

A、输入;

B、输出;

C、仿真;

D、调用

4、VHDL常用的库是(A )标准库。

A、IEEE;

B、STD;

C、WORK;

D、PACKAGE

5、VHDL的实体声明部分用来指定设计单元的(D )

A、输入端口;

B、输出端口;

C、引脚;

D、以上均可

6、一个设计实体可以拥有一个或多个(B )

A、设计实体;

B、结构体;

C、输入;

D、输出

7、在VHDL的端口声明语句中,用(A )声明端口为输入方向。

A、IN;

B、OUT;

C、INOUT;

D、BUFFER

8、在VHDL的端口声明语句中,用(B)声明端口为输出方向。

A、IN;

B、OUT;

C、INOUT;

D、BUFFER

9、在VHDL的端口声明语句中,用(C )声明端口为双向方向。

A、IN;

B、OUT;

C、INOUT;

D、BUFFER

10、在VHDL的端口声明语句中,用(D)声明端口为具有读功能的输出方向。

A、IN;

B、OUT;

C、INOUT;

D、BUFFER

11、在VHDL中用(D )来把特定的结构体关联一个确定的实体,为一个大型系统的设计提供管理和进行工程组织。

A、输入;

B、输出;

C、综合;

D、配置

12、在VHDL中,45_234_278属于(A )文字。

A、整数;

B、以数制基数表示的;

C、实数;

D、物理量

13、在VHDL中,88_670.551_278属于(C )文字。

A、整数;

B、以数制基数表示的;

C、实数;

D、物理量

14、在VHDL中,16#FE# 属于(B )文字。

A、整数;

B、以数制基数表示的;

C、实数;

D、物理量

15、在VHDL中,100m 属于(D )文字。

A、整数;

B、以数制基数表示的;

C、实数;

D、物理量

16、在VHDL中,可以用(B )表示数据或地址总线的名称。

A、下标名;

B、段名;

C、总线名;

D、字符串

17、在下列标识符中,(C )是VHDL合法的标识符。

A、4h_adde;

B、h_adde_;

C、h_adder;

D、_h_adde

18、在下列标识符中,(A)是VHDL错误的标识符。

A、4h_adde;

B、h_adde4;

C、h_adder_4;

D、h_adde

19、在VHDL中,(D)不能将信息带出对它定义的当前设计单元。

A、信号;

B、常量;

C、数据;

D、变量

20、在VHDL中,(D )的数据传输是立即发生的,不存在任何延时的行为。

A、信号;

B、常量;

C、数据;

D、变量

21、在VHDL中,(A )的数据传输是不是立即发生的,目标信号的赋值需要一定的延时时间。

A、信号;

B、常量;

C、数据;

D、变量

22、在VHDL中,为目标变量赋值的符号是(C )。

A、=:;

B、= ;

C、:= ;

D、<=

23、在VHDL中,为目标信号赋值的符号是(D )。

A、=:;

B、= ;

C、:= ;

D、<=

24、在VHDL中,定义信号名时,可以用(C)符号为信号赋初值。

A、=:;

B、= ;

C、:= ;

D、<=

25、在VHDL的IEEE标准库中,预定义的标准逻辑位STD_LOGIC的数据类型中的数据是用(B)表示的。

A、小写字母;

B、大写字母;

C、大或小写字母;

D、全部是数字

答案填空题:

1、设计实体;

2、库、程序包、实体、结构体、配置;

3、实体、结构体;

4、事先声明;

5、IEEE;STD_LOGIC_1164;

6、实体声明、结构体;

7、输入/输出端口、引脚;

8、逻辑结构、逻辑功能;

9、输入、输出、双向、缓冲;10、字母开头、下划线;

11、常量、变量、信号;12、:=、:=、<=;13、标量类型、复合类型、存取类型、文件类型;14、九;15、逻辑、算术、关系、并置;16、选择题:

1、A

2、D

3、D

4、A

5、D

6、B

7、A

8、B

9、C 10、D 11、

D 12、A 13、C 14、B 15、D 16、B 17、C 18、A 19、D 20、D 21、

A 22、C 23、D 24、C 25、B

试卷3

一、填空题

1、集成度是集成电路一项很重要的指标,可编程逻辑器件按集成密度小于或大于(),可分为()和()两大类。

2、高密度可编程逻辑器件HDPLD包括()、()、()三种。

3、按结构分类,PLD分为()和()两大类。其中()基于EPROM、E2

PROM和快闪存储器件,系统断电后编程信息();()采用SRAM结构,系统掉电后编程信息()。

4、可编程逻辑器件中至少包含()、()、()三种结构。

5、ISP是指()。

二、选择题

1、不属于PLD基本结构部分的是()。

A、与门阵列

B、或门阵列

C、与非门阵列

D、输入缓冲器

2、在下列器件中,不属于PLD的器件是()。

A、PROM

B、PAL

C、SRAM

D、PLA

3、在下列可编程逻辑器件中,不属于高密度的是()。

A、EPLD

B、CPLD

C、FPGA

D、PAL

4、在下列可编程逻辑器件中,不属于低密度的是()。

A、PROM

B、CPLD

C、GAL

D、PAL

5、在下列可编程逻辑器件中,属于易失性器件的是()。

A、PROM

B、CPLD

C、FPGA

D、PAL

6、ispLSI器件中的GLB是指()。

A、全局布线区

B、通用逻辑块

C、输出布线区

D、输出控制单元

一、填空题

1、500门,低密度可编程逻辑器件,高密度可编程逻辑器件

2、EPLD,CPLD,FPGA

3、CPLD,FPGA,CPLD,不丢失,FPGA,丢失

4、可编程逻辑宏单元、I/O单元和内部连线

5、在系统可编程技术

[经济学]统计学试卷

成都理工大学2006-2007学年第二学期 《统计学》期末考试试题(第二套) 题号一二三四五总分 得分 一、单项选择题(在备选答案中只有一个是正确的,将其选出并把它的英文标号写在题后括号内。不答题或者答错题既不得分,也不倒扣分。每题1分,共10分) 1、在研究某城市工业企业生产时,某个工业企业生产工人人数是() A、数量指标 B、数量标志 C、变量 D、标志总量 2、对全国货币发行量中占较大比重的几个大地区进行货币发行量调查,这种调查方式属于() A、普查 B、典型调查 C、抽样调查 D、重点调查 3、2003年某机械车间工人的月平均工资为1200元,工具车间工人的月平均工资为1400元,2004年各车间的工资水平不变,但机械车间工人增加20%,工具车间工人增加10%,则2004年两车间工人总平均工资比2003年() A、提高 B、降低 C、不变 D、不能做结论 4、某企业2003年完成利润100万元,2004年计划比2003年增长5%,实际完成110万元,2004年超额完成计划() A、104.76% B、4.76% C、110% D、10% 5、某单位四年管理费用的环比增长速度为3%,5%,8%,13%,则平均发展速度为() A、 B、 C、-1 D、-1 6、若同样多的人民币多购买商品3%,则物价: A、下降3% B、上升3% C、下降2.91% D、不变

7、是非标志的方差,其最大值是()。 A、1 B、1/2 C、1/3 D、1/4 8、在回归分析中,要求两变量 A、都是随机变量 B、自变量是确定性变量,因变量是随机变量 C、都是确定性变量 D、因变量是确定性变量,自变量是随机变量 9、无偏性是指 A、抽样指标的平均数等于被估计的总体指标 B、当样本容量n充分大时,样本指标充分靠近总体指标 C、随着n的无限增大,样本指标与未知的总体指标之间的离差任意小的可能性趋于实际必然性 D、作为估计量的方差比其他估计量的方差小 10、在一定的抽样平均误差条件下 A、扩大极限误差范围,可以提高推断的可靠程度 B、扩大极限误差范围,会降低推断的可靠程度 C、缩小极限误差范围,可以提高推断的可靠程度 D、缩小极限误差范围,不改变推断的可靠程度 二、多项选择题(在备选答案中有二个以上是正确的,将它们全选出并把它们的标号写在题后括号内,每题所有答案选择正确的得分;不答、错答、漏答均不得分。每题2分,共10分) 1、统计指标和统计标志是不同的,下面属于统计指标的是()。 A、某地区人口的性别比例 B、某人的性别 C、一台完好的设备 D、设备完好率 E 平均身高 2、下列指标中属于时点指标的有() A、企业数 B、在册职工人数 C、某种商品的销售量 D、某地区2004年人口数 E、某种产品的产量 3、影响抽样平均误差的因素有() A、总体标志变异程度 B、样本容量 C、抽样组织形式 D、抽样方法(重复和不重复) E、样本指标值的大小

土力学试卷(B)及答案

技术学院考试试卷(B ) 一、填空题(每空1分,共20分) 1、土的结构类型为 、 和 。 2、对无粘性土的工程性质影响最大的是土的 ,工程上用指标 来衡量。 3、粘性土的塑性指标I p ,液性指标I L 。 4、附加应力自 起算,自重应力自 起算。 5、土的抗剪强度指标的常用测定方法有 、 、 和 。 6、荷载试验曲线上,从线性关系开始变成非线性关系时的界限荷载称为 。 7、随荷载增加,地基变形的三个阶段是 、 和 。 8、钢筋混凝土扩展基础指 和 。 二、选择题(每题2分,共30分) 1.天然状态砂土的密实度一般用( )来测定。 A 荷载试验 B 轻便触探试验 C 现场剪切板剪切试验 D 标准贯入试验 2.粘土软硬状态的划分依据是( )。 A 含水量 B 液限 C 液性指数 D 塑性指数 3.利用角点法及角点下的附加应力系数表仅可求得( )。 A 基础投影范围内地基中的附加应力 B 基础投影范围外地基中的附加应力 C 基础中任意点的附加应力 D 基础中心点下地基中的附加应力 4.土中控制体积和强度变化的应力是( )。 A 孔隙水压力 B 有效应力 C 自重应力 5.下列说法中,错误的是( )。 A 土在压力作用下体积缩小 B 土的压缩主要是土中孔隙体积的减小 C 土的压缩与土的透水性有关 D 饱和土的压缩主要是土中气体被挤出 6.在土的压缩性指标中,( )。 A 压缩系数α与压缩模量Es 成正比 B 压缩系数α与压缩模量Es 成反比 C 压缩系数越大,土的压缩性越低 D 压缩模量越小,土的压缩性越低 7.在基底平均压力和其他条件均相同的条件下,条形基础的沉降比矩形基础的沉降( )。 A 大 B 小 C 相同 D 无法比较 8.某房屋地基为厚粘土层,施工速度快,则在工程上地基土抗剪强度指标宜用 下列哪种试验确定?( ) A 固结快剪 B 快剪 C 慢剪 9.下列说法中,错误的是( ), A 土的自重应力一般不会引起地基变形 B 地基中附加应力会引起地基变形 C 饱和土中的总应力等于有效应力和附加应力之和 D 孔隙水压力会使土体产生体积变形 10、土的γ、γsat 、γ’和γd 数值大小依次为( ), A γd <γ’<γ<γsat B γ’<γd <γ<γsat C γd <γ<γ’<γsat D γ’<γ<γd <γsat 11、当地下水位从地表处下降至基底平面处,对有效应力有何影响?( ) A 有效应力不变 B 有效应力增加 C 有效应力减小 12、当地基为高压缩土时,分层综合法确定地基沉降计算深度的标准是( )。 A σZ ≤0.3σc B σZ ≤0.2σc C σZ ≤0.1σc D σZ ≤0.05σc 13、土越密实,其内摩擦角( )。 A 越小 B 越大 C 不变 14.在设计仅起挡土作用的中立式挡土墙时,土压力应按( )计算。 A 主动土压力 B 被动土压力 C 静止土压力 D 静止水压力 A 大 B 小 C 大或小 D 两者相同 15.对于桩端阻力极限值,下列说法正确的是( )。 A 随深度线性增加 B 随深度线性减小 C 随深度线性增加,达到一临界值后保持不变 三、 判断题(每题1分, 共10分:对√;错×) 1、( ) 土的结构最主要的特征是成层性。 2、( )在填方工程施工中,常用土的干密度来评价填土的压实程度。 3、( )粉土的塑性指数I P 小于或等于10 、粒径大于0.075的颗粒含量不超过全重55%的土。 4.( )由于土中自重应力属于有效应力,因而与地下水位的升降无关。 5.( )达西定律中的渗透速度不是孔隙水的实际流速。 6. ( )柱下独立基础埋深的大小对基底附加应力影响不大 7. ( )土的压缩性指标只能通过室内压缩试验求得。 8. ( )朗肯土压力理论的基本假设是:墙背直立、粗糙且墙后填土面水平。 9. ( ) 地基承载力特征值在数值上与地基极限承载力相差不大。 10. ( )端承摩擦是以端承力为主,摩擦力为辅。 四、简答题(每空5分,共20分) 1、何为土的颗粒级配,粒径级配曲线的横纵坐标各表示什么? 2、什么是主动土压力、被动土压力、和静止土压力?三者大小关系为? 3、何谓地基承载力?地基土的破坏模式有哪几种?地基基础设计的条件? 4、什么是高承台桩?什么是低承台桩?其适用的范围是什么? 五、计算题(1题8分,2题12分共20分)

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

微积分试卷及答案6套

微积分试题 (A 卷) 一. 填空题 (每空2分,共20分) 三. 已知,)(lim 1A x f x =+ →则对于0>?ε,总存在δ>0,使得当 时,恒有│?(x )─A│< ε。 四. 已知22 35 lim 2=-++∞→n bn an n ,则a = ,b = 。 五. 若当0x x →时,α与β 是等价无穷小量,则=-→β β α0 lim x x 。 六. 若f (x )在点x = a 处连续,则=→)(lim x f a x 。 七. )ln(arcsin )(x x f =的连续区间是 。 八. 设函数y =?(x )在x 0点可导,则=-+→h x f h x f h ) ()3(lim 000 ______________。 九. 曲线y = x 2+2x -5上点M 处的切线斜率为6,则点M 的坐标为 。 十. ='?))((dx x f x d 。 十一. 设总收益函数和总成本函数分别为2 224Q Q R -=,52 +=Q C ,则当利润最大 时产量Q 是 。 二. 单项选择题 (每小题2分,共18分) 十二. 若数列{x n }在a 的ε 邻域(a -ε,a +ε)内有无穷多个点,则( )。 (A) 数列{x n }必有极限,但不一定等于a (B) 数列{x n }极限存在,且一定等于a (C) 数列{x n }的极限不一定存在 (D) 数列{x n }的极 限一定不存在 十三. 设1 1 )(-=x arctg x f 则1=x 为函数)(x f 的( )。 (A) 可去间断点 (B) 跳跃间断点 (C) 无穷型间断点

(D) 连续点 十四. =+-∞→13)1 1(lim x x x ( )。 (A) 1 (B) ∞ (C) 2e (D) 3e 十五. 对需求函数5 p e Q -=,需求价格弹性5 p E d - =。当价格=p ( )时,需求量减少的幅度小于价格提高的幅度。 (A) 3 (B) 5 (C) 6 (D) 10 十六. 假设)(),(0)(lim , 0)(lim 0 x g x f x g x f x x x x ''==→→;在点0x 的某邻域内(0x 可以 除外)存在,又a 是常数,则下列结论正确的是( )。 (A) 若a x g x f x x =→) ()(lim 或∞,则a x g x f x x =''→)() (lim 0或∞ (B) 若a x g x f x x =''→)()(lim 0或∞,则a x g x f x x =→) () (lim 0或∞ (C) 若) ()(lim x g x f x x ''→不存在,则)() (lim 0x g x f x x →不存在 (D) 以上都不对 十七. 曲线2 2 3 )(a bx ax x x f +++=的拐点个数是( ) 。 (A) 0 (B)1 (C) 2 (D) 3 十八. 曲线2 ) 2(1 4--= x x y ( )。 (A) 只有水平渐近线; (B) 只有垂直渐近线; (C) 没有渐近线; (D) 既有水平渐近线, 又有垂直渐近线 十九. 假设)(x f 连续,其导函数图形如右图所示,则)(x f 具有 (A) 两个极大值一个极小值 (B) 两个极小值一个极大值 (C) 两个极大值两个极小值 (D) 三个极大值一个极小值 二十. 若?(x )的导函数是2 -x ,则?(x )有一个原函数为 ( ) 。 x

大学统计学试卷及答案3套

2011年12月考试统计学第一次作业 一、单项选择题(本大题共45分,共 15 小题,每小题 3 分) 1. 对单项数列,其满足左偏斜分布时有( )。(X为均值) A. B. C. D. 2. 报告期总量加权的平均指数在计算形式上主要采取() A. 综合指数形式 B. 算术平均形式 C. 调和平均形式 D. 固定构成指数形式 3. 红星企业的2010年的产值比去年上升了8%,则8%为() A. 平均数指标 B. 总量指标 C. 相对数指标 D. 离散指标 4. 对某种连续生产的产品进行质量检验,要求每隔一小时抽出10钟的产品进 行检验,这种抽查方式是() A. 简单随机抽样 B. 类型抽样 C. 整群抽样 D. 等距抽样 5. 若销售量增加,销售额不变,则物价指数() A. 降低 B. 升高 C. 不变 D. 无法确定 6. 某灯泡厂为了掌握该厂的产品质量,拟进行一次全厂的质量大检查,这种检查应当选择() A. 统计报表 B. 重点调查 C. 全面调查 D. 抽样调查 7. 根据各年的月份资料计算的季节指数其平均数为() A. 100% B. 1200% C. 120% D. 400% 8. 直接反映总体规模大小的指标是() A. 平均指标 B. 相对指标 C. 总量 指标 D. 变异指标 9. 说明回归直线拟合程度的统计量主要是() A. 相关系数 B. 回归系数 C. 判定系数 D. 估计标准误差 10. 如果调查对象之中包含的单位很多,而且缺少原始记录可供参考,这种情 况应用() A. 抽样调查 B. 重点调查 C. 普查 D. 统计报表 11. 某连续性变量的分组中,其末组为开口组,下限为200,又知其邻组的组 中值为170,则末组的组中值为()。 A. 260 B. 215 C. 230 D. 185 12. 当已知时,总体均值μ的1- 置信水平下的置信区间为()。 A. B. C. D. 13. 计算平均指标时,最常用的方法和最基本的形式是()。 A. 中位数 B. 众数 C. 调和平均数 D. 算术平均数 14. 若已知是的3倍,

土力学及地基基础试卷及答案

土力学及地基基础标准预测试卷(一) (考试时间150分钟) 第一部分选择题 一、单项选择题(本大题共10小题,每小题2分,共20分)在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.用粒径级配曲线法表示土样的颗粒组成情况时,若曲线越陡,则表示土的 ( ) A.颗粒级配越好 B.颗粒级配越差 C.颗粒大小越不均匀 D.不均匀系数越大 2.判别粘性土软硬状态的指标是 ( ) A.塑性指数 B.液性指数 C.压缩系数 D.压缩指数 3.产生流砂的充分而必要的条件是动水力 ( ) A.方向向下 B.等于或大于土的有效重度 C.方向向上 D.方向向上且等于或大于土的有效重度 4.在均质土层中,土的竖向自重应力沿深度的分布规律是 ( ) A.均匀的 B.曲线的 C.折线的 D.直线的 5.在荷载作用下,土体抗剪强度变化的原因是 ( ) A.附加应力的变化 B.总应力的变化 C.有效应力的变化 D.自重应力的变化 6.采用条形荷载导出的地基界限荷载P1/4用于矩形底面基础设计时,其结果 ( )

A.偏于安全 B.偏于危险 C.安全度不变 D.安全与否无法确定 7.无粘性土坡在稳定状态下(不含临界稳定)坡角β与土的内摩擦角φ之间的关系是( ) A.β<φB.β=φ C.β>φ D.β≤φ 8.下列不属于工程地质勘察报告常用图表的是 ( ) A.钻孔柱状图 B.工程地质剖面图 C.地下水等水位线图 D.土工试验成果总表 9.对于轴心受压或荷载偏心距e较小的基础,可以根据土的抗剪强度指标标准值φk、Ck 按公式确定地基承载力的特征值。偏心距的大小规定为(注:Z 为偏心方向的基础边长) ( ) A.e≤ι/30 B.e≤ι/10 C.e≤b/4 D.e≤b/2 10.对于含水量较高的粘性土,堆载预压法处理地基的主要作用之一是 ( ) A.减小液化的可能性 B.减小冻胀 C.提高地基承载力 D.消除湿陷性 第二部分非选择题 二、填空题(本大题共10小题,每小题1分,共10分)请在每小题的空格中填上正确答案。错填、不填均无分。 11.建筑物在地面以下并将上部荷载传递至地基的结构称为____。 12.土的颗粒级配曲线愈陡,其不均匀系数C u值愈____。 13.人工填土包括素填土、冲填土、压实填土和____。

VHDL与数字系统课程设计

课程设计报告 实践课题:VHDL与数字系统课程设计 学生:XXX 指导老师:XXX、XXX 系别:电子信息与电气工程系 专业:电子科学与技术 班级:XXX 学号:XXX

一、设计任务 用VHDL设计一个简单的处理器,并完成相关的仿真测试。 .设计要求: 图1是一个处理器的原理图,它包含了一定数量的寄存器、一个复用器、一个加法/减法器(Addsub),一个计数器和一个控制单元。 图1 简单处理器的电路图 数据传输实现过程:16位数据从DIN输入到系统中,可以通过复用器分配给R0~R7和A,复用器也允许数据从一个寄存器传通过Bus送到另外一个寄存器。 加法和减法的实现过程:复用器先将一个数据通过总线放到寄存器A中,然后将另一个数据放到总线上,加法/减法器对这两个数据进行运算,运算结果存入寄存器G中,G中的数据又可根据要求通过复用器转存到其他寄存器中。 1)Rx ←[Ry] :将寄存器Ry中的内容复制到Rx; 2)Mvi Rx,#D :将立即数存入寄存器Rx中去。 所有指令都按9位编码(取自DIN的高9位)存储在指令存储器IR中,编编码规则为IIIXXXYYY,III表示指令,XXX表示Rx寄存器,YYY表示Ry寄存器。立即数#D是在mvi指令存储到IR中之后,通过16位DIN输入

的。 有一些指令,如加法指令和减法指令,需要在总线上多次传输数据,因此需要多个时钟周期才能完成。控制单元使用了一个两位计数器来区分这些指令执行的每一个阶段。当Run信号置位时,处理器开始执行DIN输 时间 指令 T0T1T2T3 (mv):I0 (mvi):I1 (add):I2 (sub):I3 IR in IR in IR in IR in RY out,RX in,Done DIN out,RX in,Done RX out,A in RX out,A in ---- ---- RY out,G in,Addsub RY out,G in,Addsub ---- ---- G out,RX in,Done G out,RX in,Done 二、实现功能说明 2.1 mv Rx,Ry 实现的功能:将寄存器Rx的值赋给寄存器Ry(以mv R0, R5为例) (1 )计数器为“00”时,指令寄存器的置位控制信号输入端IRin=1有效,将DIN输入的数据的高9位锁存。 置位的控制信号如图3加粗黑线所示。 图3 (2)计数器为“01”时,首先控制单元根据设计器为“00”时输入的指令,向复用器发出选通控制信号,复用器根据该控制信号让R5的值输出到总线上,然后控制单元控制寄存器R0将总线上的值锁存,完成整个寄存器对寄存器的赋值过程。置位的控制信号和数据流如图4加粗黑线所示。 图4

服装材料学6套试卷

《服装材料》试题一 一、名词解释(每小题3分,共15分) 1、特数: 2、织物风格: 3、经组织点: 4、梭织物: 5、色织物: 二、单项选择题(在每小题的四个备选答案中有一个正确的答案,将正确答案的序号写在题干的括号内。每小题2分,共20分) 1、棉纤维的断面形态特征是() A、腰园形,有中腔 B、多角形,有较小中腔 C、三角形或半椭圆形 D、锯齿形,有皮芯结构 2、桑蚕丝的纵向形态特征是() A、表面有细沟槽 B、表面平直 C、表面有鳞片 D、扁平带状,有天然转曲 3、在公定回潮率的条件下,某种纱线长度为30米,重量为60毫克,其特数为() A、20 B、0.5 C、2 D、50 4、右边组织图应为()组织。 A、平纹 B、1/2左斜纹 C、2/1斜纹 D、1/2右斜纹 5、右边组织图应为()组织。 A、斜纹 B、缎纹 C、平纹 D、变化组织 7、右边熨烫标记表示() A、可熨烫,但要垫烫布 B、可熨烫,但温度在100℃以下 C、 可熨烫,但熨斗温度在150℃以下D、可熨烫,熨斗温度可达200℃ 8、纬平针组织是由() A、连续的单元线圈单向相互串套而成 B、正面线圈的纵行和反面线圈的纵行以一定组合配置而成 C、由每根经纱在相邻两只织针上交替垫纱成圈而成的 D、由两个罗纹组织交叉复合而成 9、公定回潮率是() A、是指在相对湿度65%±2%,温度20℃±2℃条件下的回潮率 B、是指在相对湿度60%±2%,温度20℃±2℃条件下的回潮率 C、是指在相对湿度65%±2%,温度25℃±2℃条件下的回潮率 D、是指在相对湿度60%±2%,温度25℃±2℃条件下的回潮率 10、华达呢是属于() A、精纺毛织物 B、粗纺毛织物 C、长毛绒织物 D、驼绒织物 三、填空题(每空1分,共20分) 1、纤维的鉴别方法很多,其中常用的有()、()和()、溶解法、药品着色法、红外吸收光谱鉴别法等。

大学统计学试卷及答案3套

大学统计学试卷及答案3套 2011 年12 月考试统计学第一次作业一、单项选择题(本大题共45 分,共15 小题,每小题3 分)1. 对单项数列,其满足左偏斜分布时有。(X 为均值)A. B. C. D.2. 报告期总量加权的平均指数在计算形式上主要采取()A. 综合指数形式B. 算术平均形式C. 调和平均形式 D. 固定构成指数形式3. 红星企业的2010 年的产值比去年上升了8,则8为()A. 平均数指标B. 总量指标 C. 相对数指标 D. 离散指标4. 对某种连续生产的产品进行质量检验,要求每隔一小时抽出10 钟的产品进行检验,这种抽查方式是()A. 简单随机抽样B. 类型抽样C. 整群抽样D.等距抽样5. 若销售量增加,销售额不变,则物价指数()A. 降低B. 升高C. 不变D. 无法确定6. 某灯泡厂为了掌握该厂的产品质量,拟进行一次全厂的质量大检查,这种检查应当选择()A. 统计报表B. 重点调查C. 全面调查D. 抽样调查7. 根据各年的月份资料计算的季节指数其平均数为()A. 100 B. 1200 C.120 D. 4008. 直接反映总体规模大小的指标是()A. 平均指标 B. 相对指标 C. 总量指标 D. 变异指标9. 说明 回归直线拟合程度的统计量主要是()A. 相关系数B. 回归系数 C.判定系数D. 估计标准误差10. 如果调查对象之中包含的单位很多,而且缺少原始记录可供参考,这种情况应用()A. 抽样调查 B. 重点调查C. 普查 D. 统计报表11. 某连续性变量的分组中,其末组为开口组,下限为200,

又知其邻组的组中值为170,则末组的组中值为()。A. 260 B. 215 C. 230 D. 18512. 当已知时,总体均值μ 的1- 置信水平下的置信区间为()。A. B. C. D.13. 计算平均指标时,最常用的方法和最基本的形式是()。A. 中位数B.众数C. 调和平均数 D. 算术平均数14. 若已知是的 3 倍,是的 1.5 倍,则相关系数()。A. B. C. D.15. 要对某市高等学校的科研所进行调查,则统计总体是()。A. 某市所有的高等学校B. 某一高等学校的科研所 C. 某一高等学校 D. 某市所有高等学校的科研所二、多项选择题(本大题共40 分,共10 小题,每小题4 分)1. 统计指数的性质主要有()A. 综合性 B. 代表性 C. 相对性D. 平均性2. 统计预测工作应当遵循的原则有()A. 定量分析是定性分析的基础 B.根据现象的特点选用适宜的预测方法 C. 坚持实事求是的原则 D. 统计预测中的定量预测要大量使 用模型外推法3. 相关系数与回归系数的关系有()。A. 回归系数大于零则相关系数大于零 B. 回归系数小于零则相关系数小于零C. 回归系数大于零则相关系数小于零 D. 回归系数小于零则相关系数大于零 E. 回归系数等于零则相关系数等于零4. 统计表从外表形式看,主要有()A. 统计数字资料 B. 标题 C. 主词 D.标目 E. 宾词5. 在编制加权综合指数时,确定权数需要考虑的问题有() A. 现象之间的内在联系B. 权数的所属时期 C. 权数的具体数值D. 权

《土力学》期末试卷及答案

《土力学》期末试卷及答案 一、填空题(每空1分,共20分) 1、无粘性土的性质主要取决于颗粒的粒径、级配 2、用三轴试验测定土的抗剪强度指标,在其它条件都相同的情况下,测的抗剪强度指标值最大的是固结排水剪切、试验,最小的是不固结不排水剪切试验。 3、评价粗颗粒土粒径级配的指标有不均匀系数、曲率系数和。 4、τf表示土体抵抗剪切破坏的极限能力,当土体中某点的剪应力τ=τf时,土体处 于状态;τ>τf时,土体处于状态;τ<τf时,土体处于状态。 5、桩按受力分为和。 6、用朗肯土压力理论计算土压力时,挡土墙墙背因、,墙后填土表面因。 7、桩的接头方式有、和。 8、建筑物地基变形的特征有、、和倾斜四种类型。 二、选择题(每小题2分,共10分) 1、采用搓条法测定塑限时,土条出现裂纹并开始断裂时的直径应为() (A)2mm (C) 4mm(D) 5mm 2、《地基规范》划分砂土的密实度指标是() (A)孔隙比(B)相对密度(D) 野外鉴别 3、建筑物施工速度较快,地基土的透水条件不良,抗剪强度指标的测定方法宜选用() B)固结不排水剪切试验(C)排水剪切试验(D)直接剪切试验 4、地基发生整体滑动破坏时,作用在基底的压力一定大于()。 (A)临塑荷载(B)临界荷载(D)地基承载力 5、夯实深层地基土宜采用的方法是 ( ) (B)分层压实法(C)振动碾压法(D)重锤夯实法 三、简答题(每小题5分,共20分) 1、直剪试验存在哪些缺点? 2、影响边坡稳定的因素有哪些? 3、产生被动土压力的条件是什么? 4、什么是单桩竖向承载力?确定单桩承载力的方法有哪几种? 四、计算题(共50分) 1、某土样重180g,饱和度S r=90%,相对密度为2.7,烘干后重135g。若将该土样压密,使其干密度达到1.5g/cm3。试求此时土样的天然重度、含水量、孔隙比和饱和度。(10分) 1、解:由已知条件可得原土样的三相数值为: m=180g m s=135g m w=180-135=45g V s=135/2.7=50cm3 V w=45 cm3 V v=45/0.9=50cm3 V=50+50=100 cm3 土样压密后的三相数值为:V=135/1.5=90cm3 V v=90-50=40 cm3 V w=40 cm3 m w=40g m=135+40=175g γ=175/90×10=19.4 kN/m3 w=40/135×40%=30% e=40/50=0.8

vhdl课程设计(电子钟+闹铃)

数字钟的设计 一、系统功能概述 (一)、系统实现的功能: 1、具有“时”、“分”、“秒”的十进制数字显示(小时从00 ~ 23)。 2、具有手动校时、校分、校秒的功能。 3、有定时和闹钟功能,能够在设定的时间发出闹铃声。 4、能进行整点报时。从59分50秒起,每隔2秒发一次低音“嘟”的信号,连续5次, 最后一次为高音“嘀”的信号。 (二)、各项设计指标: 1、显示部分采用的6个LED显示器,从高位至低位分别显示时、分、秒。 2、有一个设置调闹钟定时时间、正常时间的按钮,选择调的对象。 3、有三个按钮分别调时、分、秒的时间。 4、有一个按钮用作开启/关闭闹铃。 5、另外需要两个时钟信号来给系统提供脉冲信号,使时钟和闹钟正常工作,分别为1Hz、 1kHz的脉冲。 二、系统组成以及系统各部分的设计 1、系统结构描述//要求:系统(或顶层文件)结构描述,各个模块(或子程序)的功能描述;(一)系统的顶层文件: 1、顶层文件图:(见下页) 2、各模块的解释: (1)、7个输入量clk_1khz、clk_1hz、key_slt、key_alarm、sec_set、min_set、hour_set:其中clk_1khz为闹铃模块提供时钟,处理后能产生“嘟”、“嘀”和变化的闹铃声音;clk_1hz为计时模块提供时钟信号,每秒计数一次;key_slt选择设置对象:定时或正常时间;key_alarm能够开启和关闭闹铃;sec_set、min_set、hour_set用于设置时间或定时,与key_slt相关联。各按键输出为脉冲信号。 (2)、CNT60_A_SEC模块: 这个模块式将clk_1hz这个时钟信号进行60进制计数,并产生一个分钟的触发信号。该模块能将当前计数值实时按BCD码的格式输出。将该输出接到两位LED数码后能时时显示秒的状态。通过alarm_clk可以选择设置对象为时间还是定时值。在设置时间模式上,key上的一个输入脉冲可以将clk的输入信号加一。在设置定时模式上,key 上的脉冲只修改定时值,不影响时间脉冲clk的状态。 同时该模块具有两个输出口out_do、out_di来触发整点报时的“嘟”、“嘀”声音。 (3)、CNT60_A_MIN模块: 这个模块式将CNT60_A_SEC的输出信号进行60进制计数,并产生一个时位的触发信号。该模块能将当前计数值实时按BCD码的格式输出。将该输出接到两位LED数码后能时时显示分的状态。通过alarm_clk可以选择设置对象为时间还是定时值。在设置时间模式上,key上的一个输入脉冲可以将clk的输入信号加一。在设置定时模式上,key上的脉冲只修改定时值,不影响时间脉冲clk的状态。 同时该模块具有三个输出口out_do、out_di、out_alarm来触发整点报时的“嘟”、“嘀”、闹铃声音。

包装印刷材料试卷及答案6套

2、拉丝性—— 3、遮盖力—— 4、分散度—— 5、不透明度—— 6、屈服值—— 二、填空(41分) 1、油墨的主要成份是什么: 。 它的各自作用是什么? 。2、造纸的主要工艺流程包括、、、。漂白的作用是。 3、干性油、半干性油、不干性油之间的区别关键因素为,在碘值上的数值各为多少?。 4、什么是碘值?碘值是用来衡量。 5、油墨以弹性特征断裂,油墨墨丝,墨势恢复表现为,靠的是而恢复的。印刷网点将。 6、色淀颜料是经过将染料并 . 7、印刷过程中,油墨的表面张力应承印物的表面张力,θ角(接触角)越大,说明液体的表面张力。 8、乳化剂一端,一端在油墨中的作用是 。 三、是非判断题(对√错×)(16分) 1、颜料粒子表面自由能较高,有利于与连结料的亲和和浸润。( ) 2、造纸中纸浆的颜色主要是来自于纤维和灰分。() 3、连接料酸值太大,对颜料的浸润性差。() 4、纸的紧度是衡量纸张结构疏密程度的物理量。( ) 5、空气中的相对湿度不影响纸张的平衡水分。( ) 6、铜版纸属非涂布加工纸,主要用于包装纸。() 7、干燥剂中不存在金属离子,多数为小分子有机物。() 8、铁板印刷多数使用挥发干燥型油墨。( ) 四、回答题(25分) 1、何为纸的平衡水分?纸张水分多了在印刷中会影响什么? 2、氧化结膜干燥机理是什么? 3、何为半化学法和化学机械法纸浆原理? 4、飞墨现象是一种什么现象?何原因造成的? 5、画出非牛顿流体中的切力增稠流动曲线?说明哪些物质含有此类流动?

2、着色力—— 3、触变性—— 4、挺度—— 5、吸油量—— 6、白度—— 二、填空 1、油墨中加蜡的作用是铝皂的作用是。 2、油墨中颜料粒子越细,吸油量,油墨流动性稳定性。 3、水基型油墨中加入离子型表面活性剂,可分解成,然后吸附于表面,其相对应的电荷构成对包围圈,同时形成对周围同样从而。 4、液体的越小,说明它的表面张力,越与承印物,对印刷质量的影响。 5、油墨是一个、、、、体系。 6、油墨体系中固液两相要想达到分散体系,很重要的是固液两相的。 7、在的作用下,颜料粒子外围构成有效的防止固体粒子的。 8、透明度指光后,部分光产生折射进入膜层内,这部分光又分为和,越大,膜层的透明度吸收的光越多,遮盖力。 9、造纸中漂白的作用是,其中漂白的方法有、 、、。 三、是非判断(对√错× )(16分) 1、印刷中拉毛现象是由于墨中有颜料粒子没有浸润好,所以印刷时颜料粒子中的粉尘脏了承印物叫做拉毛现象。() 2、纸的不透明度是由纸张中纤维不透明度高造成的。( ) 3、胶版印刷中所用油墨是氧化结膜干燥方式为主。() 4、胶印机印刷是靠水墨平衡原理印刷的,非图文部分上水,图文部分上墨。() 5、纸的柔软性、压缩性越小,印品的清晰度越高。() 6、紧度越大的纸比紧度较小的纸吸水速度慢。() 7、造纸中主要要去掉木素,以防纸在存放中变黄。() 8、纸压平后的施胶只是用于不透水。() 四、回答问题 1、回答荧光颜料与夜光颜料的特征与区别 2、印刷前对纸进行湿平衡处理,其目的是什么,作用是什么?水分太少了怎么样? 3、乳化剂加到油墨中,它的作用是什么,作用机理是什么? 4、颜色显示机理是什么?亮度与色度的区别是什么?

统计学期末考试试题及答案(共2套)

期末考试 统 计 学 课程 A 卷试题 一、单项选择题(在每小题的四个备选答案中选择 一个正确的答案代码填入题前括号内,每小题1分,共10分) 【 】1、甲、乙两组工人的平均日产量分别为18件和15件。若两组工人的平均日产量不变,但是甲组工人数占两组工人总数的比重上升,则两组工人总平均日产量会 A 、上升 B 、下降 C 、 不变 D 可能上升,也可能下降 【 】2、甲班学生平均成绩80分,标准差8.8分,乙班学生平均成绩70分,标准差8.4分,则 A 、 乙班学生平均成绩代表性好一些 B 、甲班学生平均成绩代表性好一些 C 、无法比较哪个班学生平均成绩代表性好 D 、两个班学生平均成绩代表性一样 【 】3、某企业单位产品成本计划在上月的基础上降低2%,实际降低了1.5%,则单位产品成本降低计划完成程度为 A 、 75% B 、 99.5% C 、100.5% D 、 133.2% 【 】4、某企业最近几批产品的优质品率P分别为85%、82%、91%,为了对下一批产品的优质品率进行抽样检验,确定必要的抽样数目时,P应选 A 、91% B 、85% C 、94% D 、82% 【 】5、一般而言,总体平均数的无偏、有效、一致估计量是 A 、样本平均数 B 、样本中位数 C 、 样本众数 D 、不存在 【 】6、单相关系数等于零时意味着变量X 与Y 之间一定 A 、无任何相关关系 B 、无线性相关关系 C 、无非线性相关关系 D 、以上答案均错误 【 】7、在右侧检验中,利用P 值进行检验时,拒绝原假设的条件是 A 、P 值> α B 、P 值>β C 、 P 值< α D 、 P 值<β 【 】8、正态总体,方差未知,且样本容量小于30,这时检验总体均值的统计量应取 A 、n S x Z 0μ-= ~N(0,1) B 、 n x Z σμ0 -= ~N(0,1) C 、)1(~)1(2 2 2 2 --= n S n χσχ D 、)1(~0--= n t n S x t μ 【 】9、原始资料平均法计算季节指数时,计算各年同期(月或季)的平均数,

VHDL课程设计-PS2键盘

VHDL课程设计-PS2键盘

目录 一、课程设计的目的与任务 (3) 二、课程设计题目 (3) 1、指定题目: (3) 2、自选题目: (3) 三、课程设计的内容与要求 (3) 1、设计内容 (4) 2、设计要求 (4) 四、实验仪器设备 (4) 五、设计方案 (4) 1、PS2解码 (4) 2、设计思路 (6) 3、模块设计 (7) 4、各模块分析 (8) (1)PS2时钟检测模块 8 (2)PS2解码模块 10 (3)PS2组合模块 12 (4)控制LED模块 14 (5)PS2总的组合模块 16 六、综合与仿真 (17) 1、综合 (17) 2、仿真 (18) (1)电平检测模块仿真 (18) (2)LED灯控制模块仿真 (18)

(3)PS2_module总模块仿真 (19) 七、硬件下载 (23) 八、心得体会 (24) 九、参考文献 (24) 一、课程设计的目的与任务 (1)熟练掌握EDA工具软件QuartusII的使用; (2)熟练用VHDL硬件描述语言描述数字电路; (3)学会使用VHDL进行大规模集成电路设计; (4)学会用CPLD\FPGA使用系统硬件验证电路设计的正确性; (5)初步掌握EDA技术并具备一定的可编程逻辑芯片的开发能力; 二、课程设计题目 1、指定题目: 0 :多功能计数器;1 :数字秒表;2 :简易数字钟;3 :简易频率计; 4 :彩灯控制器; 5 :交通灯控制器; 6 :四路智力竞赛抢答器; 7 :简易微波炉控制器;8 :表决器;9 :数字密码锁; 我的的学号尾数是2,所以我要做的题目是简易数字钟。由于我之前已经学过Verilog HDL和VHDL,所以简易数字钟相对于我比较简单,我完成了简易数字钟并验收后,再选择了另一个自选题目来完成。 简易数字钟:设计一个以“秒”为基准信号的简易数字钟,显示时、分、秒,同时可实现整点报时和清零(我已经完成,而且已经验收了)。 2、自选题目: 在完成了数字钟的设计后,我选择了另一个设计的题目,那就是PS2键盘扫描。所以这次课程设计我的报告主要详细写的是PS2键盘扫描的程序,而不是简易数字钟。 PS键盘扫描:设计一个PS键盘扫描程序,能接受键盘的输入时钟和数据,区别哪一个键输入,同时解译通码和断码,使用LED灯来显示收到的数据。三、课程设计的内容与要求

统计学试题2套及答案

一、单项选择题 (每小题 2分,共 20 分) 1.社会经济统计的数量特点表现在( )。 A ?它是一种纯数量的研究 B. 它是从事物量的研究开始来认识事物的本质 C. 它是从定性认识开始以定量认识为最终目的 D. 它是在质与量的联系中研究社会经济现象的数量方面 2.若不断重复某次调查,每次向随机抽取的 100 人提出同一个问题,则每次都能得到 一个回答“是”的人数百分数,这若干百分数的分布称为: ( )。 A .总体平均数的次数分布 B .样本平均的抽样分布 C. 总体成数的次数分布 D .样本成数的抽样分布 3. 当变量数列中各变量值的频数相等时( )。 A .该数列众数等于中位数 B .该数列众数等于均值 C. 该数列无众数 D .该众数等于最大的数值 4. 描述数据离散程度的测度值中,最常用的是( )。 A .全距 B .平均差 C. 标准差 D .标准差系数 5. 计算无关标志排队等距抽样的抽样误差,一般近似采用 ( )。 A .多阶段抽样的误差公式 B .简单随机抽样的误差公式 C. 分层抽样的误差公式 D .整群抽样的误差公式 6. 将报告期两个城市物业管理费用的物价水平进行综合对比,属于( )。 D. 肯定为负数 9.若产品产量增加,生产费用不变,则单位产品成本指数 ( )。 10.下列现象中具有侠义相关系数的现象的是( )。 A .定期存款的利率与利息 B .某种商品的销售额与销售价格 C .居民收入与商品销售额 D .电视机产量与粮食产量 、多选题:( 每小题 3分,共 15分) A .强度相对数 C. 结构影响指数 B .动态相对数 D .静态指数 7.某地区商品销售额增长了 5%,商品零售价格平均增长 2%,则商品销售量增长 ( )。 A. 7% B . 10% C . 2.94% D . 3% 8.对于有线性相关关系的两变量建立的直线回归方城 Y=a+bx 中,回归系数 b ( )。 A .肯定是正数 B .显著不为 0 C .可能为0 A. 上升 B .下降 C .不变 D .不确定

【试卷]_土力学_模拟题6套及答案

模拟题 (一) 1、简述太沙基有效应力原理。(10) 2、简述土的破坏形式,各是什么?(10) 3、用土的极限平衡理论说明说明主动土压力与被动土压力的概念。(10) 4、土坡失稳的根本原因是什么?(10) 5、粘性土和砂性土地基受荷后,其沉降特性有何不同? (10) 6、结合室内完全侧限条件下的压缩试验,推导出压缩模量Es 和压缩系数a 的关系式a e Es 1 1+= 。(15) 7、某饱和粘性土样,做室内压缩试验,试样高20mm ,以测定该土的%,0.38,/6.1831==ωγm KN 土粒比重为2.75。试求: (1)土样的初始孔隙比及饱和度? (7) (2)加上50kPa 压力后,固结度达90%的时间为8分28.8秒,试计算该土的固结系数。(按双面排水且U=90%时,Tv=0.848) (7) (3)该土样系取自地基中饱和粘土层,该饱和粘土层厚20m ,Es=3Mpa,该层顶面为砂层,试计算当在地面上一次加上大面积均布荷载Po=150kPa 后,饱和粘土层固结度达90%所需的时间?最终沉降量是多少? (11) 8、某挡土墙高 4.5m ,墙背垂直、光滑,填土表面水平。填土分两层:上层厚度 2.0m,为粉质土, ,6.6,20,/9.1810131kPa c m KN ===φγ 下层厚度2.5m, 为砂性土,,0,30,/1820232kPa c m KN ===φγ 求主动 土压力及作用点并绘出分布图。(15) 9、某一桥墩基础地面尺寸m m 0.30.3?,埋置深度为 1.0m 。作用在基础底面的轴向荷载为kN N 1600=(包括基础自重)。地基 岩土资料如下:表层土粗砂,厚1.5m ,处于密实状态,3/0.18m kN r =;其下第二层土为粘土层,厚度为1.5m, 3/0.19m kN r =, 该土层室内压缩试验结果,kPa p 1001=时,对应的孔隙比,85.01=e kPa p 2002=时对应的,79.01=e 第三层为基岩。求 解: (1)粘土层的压缩系数21-α,并判断该土的压缩性如何。(10) (2)粘土层在外荷载作用下的沉降量(以基础中心点为计算点,忽略砂土层的沉降),已知角点下的附加应力系数),( b z b l K c 为:244.0)3.0,0.1(=c K 38.1)33.1,0.1(=c K (15) 11、试谈土质土力学发展对于路基路面修建技术发展的影响。并举例说明。(20) 模拟题(一)答案 1、简述太沙基有效应力原理。(10) 答案:(1)土的有效应力等于总应力减去孔隙水压力。(5) (2)土的有效应力控制了土的变形。(5) 2、简述土的破坏形式,各是什么?(10) 答案:地基土破坏形式有三种(3),即整体剪切破坏(一般发生在密实砂土中)、局部剪切破坏(一般发生在中等密砂中)和刺入破坏(一般发生在松砂中)。(7) 3、用土的极限平衡理论说明说明主动土压力与被动土压力的概念。(10) 答案:挡土墙在墙后填土压力作用下,背离着填土方向移动,这时作用在墙上的土压力将由静止土压力逐渐减小,当墙后土体达到平衡,并出现连续滑动面土体下滑,这时土压力减至最小值,称为主动土压力。(5) 挡土墙在外力作用下,向填土方向移动,这时作用在墙上的土压力将由静止土压力逐渐增大,当墙后土体达到极限平衡,并出现连续滑动面使土体向上隆起,这时土压力增至最大值,称为被动土压力。(5) 4、土坡失稳的根本原因是什么?(10) 答案:外荷载的作用使土坡原有的应力平衡状态发生改变,丧失稳定(5);土坡在各种因素的综合作用下,使构成土坡的土体的抗剪强度降低。(5) 5、粘性土和砂性土地基受荷后,其沉降特性有何不同? (10) 答案:粘性土的透水性比砂性土的透水性差,(3)所以在受荷后初期粘性土的沉降量比砂性土的沉降量小。(7)

相关主题