搜档网
当前位置:搜档网 › 过程控制系统实验指导书第二版

过程控制系统实验指导书第二版

过程控制系统实验指导书第二版
过程控制系统实验指导书第二版

计算机组成原理实验指导书

“计算机组成原理” 实验指导书 伟丰编写 2014年12月

实验一算术逻辑运算实验 一、实验目的 1、掌握简单运算器的组成以及数据传送通路。 2、验证运算功能发生器(74LS181)的组合功能。 二、实验容 运用算术逻辑运算器进行算术运算和逻辑运算。 三、实验仪器 1、ZY15Comp12BB计算机组成原理教学实验箱一台 2、排线若干 四、实验原理 实验中所用的运算器数据通路如图1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的两个数据输入端分别由两个锁存器(74LS273)锁存,锁存器的输入连至数据总线,数据输入开关(INPUT)用来给出参与运算的数据,并经过一三态门(74LS245)和数据总线相连。运算器的输出经过一个三态门(74LS245)和数据总线相连。数据显示灯已和数据总线(“DATA BUS”)相连,用来显示数据总线容。

图1-l 运算器数据通路图 图1-2中已将实验需要连接的控制信号用箭头标明(其他实验相同,不再说明)。其中除T4为脉冲信号,其它均为电平控制信号。实验电路中的控制时序信号均已部连至相应时序信号引出端,进行实验时,还需将S3、S2、S1、S0、Cn、M、LDDR1、LDDR2、ALU_G、SW_G 各电平控制信号与“SWITCH”单元中的二进制数据开关进行跳线连接,其中ALU_G、SW_G 为低电平有效,LDDR1、LDDR2为高电平有效。按动微动开关PULSE,即可获得实验所需的单脉冲。 五、实验步骤 l、按图1-2连接实验线路,仔细检查无误后,接通电源。(图中箭头表示需要接线的地方, 2、用INPUT UNIT的二进制数据开关向寄存器DR1和DR2置数,数据开关的容可以用与开关对应的指示灯来观察,灯亮表示开关量为“1”,灯灭表示开关量为“0”。以向DR1中置入11000001(C1H)和向DR2中置入01000011(43H)为例,具体操作步骤如下:首先使各个控制电平的初始状态为:CLR=1,LDDR1=0,LDDR2=0,ALU_G=1,SW_G=1,S3 S2 S1 S0 M CN=111111,并将CONTROL UNIT的开关SP05打在“NORM”状态,然后按下图所示步骤进行。

过程控制系统实验报告材料(最新版)

实验一、单容水箱特性的测试 一、实验目的 1. 掌握单容水箱的阶跃响应的测试方法,并记录相应液位的响应曲线。 2. 根据实验得到的液位阶跃响应曲线,用相关的方法确定被测对象的特征参数T和传递函数。 二、实验设备 1. THJ-2型高级过程控制系统实验装置 2. 计算机及相关软件 3. 万用电表一只 三、实验原理 图2-1单容水箱特性测试结构图由图2-1可知,对象的被控制量为水箱的液位H,控制量(输入量)是流入水箱中的流量Q1,手动阀V1和V2的开度都为定值,Q2为水箱中流出的流量。根据物料平衡关系,在平衡状态时 Q1-Q2=0 (1)

动态时,则有 Q1-Q2=dv/dt (2) 式中 V 为水箱的贮水容积,dV/dt为水贮存量的变化率,它与 H 的关系为 dV=Adh ,即dV/dt=Adh/dt (3) A 为水箱的底面积。把式(3)代入式(2)得 Q1-Q2=Adh/dt (4) 基于Q2=h/RS,RS为阀V2的液阻,则上式可改写为 Q1-h/RS=Adh/dt 即 ARsdh/dt+h=KQ1 或写作 H(s)K/Q1(s)=K/(TS+1) (5) 式中T=ARs,它与水箱的底积A和V2的Rs有关:K=Rs。 式(5)就是单容水箱的传递函数。 对上式取拉氏反变换得 (6) 当t—>∞时,h(∞)=KR0 ,因而有K=h(∞)/R0=输出稳态值/阶跃输入当 t=T 时,则有 h(T)=KR0(1-e-1)=0.632KR0=0.632h(∞)

式(6)表示一阶惯性环节的响应曲线是一单调上升的指数函数,如图 2-2 所示。当由实验求得图2-2所示的阶跃响应曲线后,该曲线上升到稳态值的63%所对应的时间,就是水箱的时间常数T。该时间常数 T也可以通过坐标原点对响应曲线作切线,切线与稳态值交点所对应的时间就是时间常数T,由响应曲线求得K和T后,就能求得单容水箱的传递函数。如果对象的阶跃响应曲线为图2-3,则在此曲线的拐点D处作一切线,它与时间轴交于B点,与响应稳态值的渐近线交于A点。图中OB即为对象的滞后时间τ,BC为对象的时间常数T,所得 的传递函数为: 四、实验内容与步骤 1.按图2-1接好实验线路,并把阀V1和V2开至某一开度,且使V1的开度大于V2的开度。 2.接通总电源和相关的仪表电源,并启动磁力驱动泵。

《控制系统CAD》实验指导书

《控制系统CAD及仿真》实验指导书 自动化学院 自动化系

实验一SIMULINK 基础与应用 一、 实验目的 1、熟悉并掌握Simulink 系统的界面、菜单、工具栏按钮的操作方法; 2、掌握查找Simulink 系统功能模块的分类及其用途,熟悉Simulink 系统功能模块的操作方法; 3、掌握Simulink 常用模块的内部参数设置与修改的操作方法; 4、掌握建立子系统和封装子系统的方法。 二、 实验内容: 1. 单位负反馈系统的开环传递函数为: 1000 ()(0.11)(0.0011) G s s s s = ++ 应用Simulink 仿真系统的阶跃响应曲线。 2.PID 控制器在工程应用中的数学模型为: 1 ()(1)()d p i d T s U s K E s T s T s N =+ + 其中采用了一阶环节来近似纯微分动作,为保证有良好的微分近似效果,一般选10N ≥。试建立PID 控制器的Simulink 模型并建立子系统。 三、 预习要求: 利用所学知识,编写实验程序,并写在预习报告上。

实验二 控制系统分析 一、 实验目的 1、掌握如何使用Matlab 进行系统的时域分析 2、掌握如何使用Matlab 进行系统的频域分析 3、掌握如何使用Matlab 进行系统的根轨迹分析 4、掌握如何使用Matlab 进行系统的稳定性分析 5、掌握如何使用Matlab 进行系统的能观测性、能控性分析 二、 实验内容: 1、时域分析 (1)根据下面传递函数模型:绘制其单位阶跃响应曲线并在图上读标注出峰值,求出系统 的性能指标。 8 106) 65(5)(2 32+++++=s s s s s s G (2)已知两个线性定常连续系统的传递函数分别为1G (s)和2G (s),绘制它们的单位脉冲响 应曲线。 4 5104 2)(2 321+++++=s s s s s s G , 27223)(22+++=s s s s G (3)已知线性定常系统的状态空间模型和初始条件,绘制其零输入响应曲线。 ?? ??????????--=????? ???? ???212107814.07814.05572.0x x x x []?? ????=214493 .69691.1x x y ??? ???=01)0(x 2、频域分析 设线性定常连续系统的传递函数分别为1G (s)、2G (s)和3G (s),将它们的Bode 图绘制在一张图中。 151)(1+= s s G ,4 53.0)(22++=s s s G ,16.0)(3 +=s s G 3、根轨迹分析 根据下面负反馈系统的开环传递函数,绘制系统根轨迹,并分析系统稳定 的K 值范围。 ) 2)(1()()(++= s s s K s H s G

过程控制实验报告

过程控制实验 实验报告 班级:自动化1202 姓名:杨益伟 学号:120900321 2015年10月 信息科学与技术学院 实验一过程控制系统建模 作业题目一: 常见得工业过程动态特性得类型有哪几种?通常得模型都有哪些?在Simulink中建立相应模型,并求单位阶跃响应曲线、 答:常见得工业过程动态特性得类型有:无自平衡能力得单容对象特性、有自平衡能力得单容对象特性、有相互影响得多容对象得动态特性、无相互影响得多容对象得动态特性等。通常得模型有一阶惯性模型,二阶模型等、 单容过程模型 1、无自衡单容过程得阶跃响应实例 已知两个无自衡单容过程得模型分别为与,试在Simulink中建立模型,并求单位阶跃响应曲线。 Simulink中建立模型如图所示: 得到得单位阶跃响应曲线如图所示:

2、自衡单容过程得阶跃响应实例 已知两个自衡单容过程得模型分别为与,试在Simulink中建立模型,并求单位阶跃响应曲线。 Simulink中建立模型如图所示: 得到得单位阶跃响应曲线如图所示:

多容过程模型 3、有相互影响得多容过程得阶跃响应实例 已知有相互影响得多容过程得模型为,当参数, 时,试在Simulink中建立模型,并求单位阶跃响应曲线在Simulink中建立模型如图所示:得到得单位阶跃响应曲线如图所示:

4、无相互影响得多容过程得阶跃响应实例 已知两个无相互影响得多容过程得模型为(多容有自衡能力得对象)与(多容无自衡能力得对象),试在Simulink中建立模型,并求单位阶跃响应曲线。 在Simulink中建立模型如图所示: 得到得单位阶跃响应曲线如图所示:

PLC控制系统实验指导书(三菱)(精)

电气与可编程控制器实验指导书 实验课是整个教学过程的—个重要环节.实验是培养学生独立工作能力,使用所学理解决实际问题、巩固基本理论并获得实践技能的重要手段。 一 LC控制系统实验的目的和任务实验目的 1.进行实验基本技能的训练。 2.巩固、加深并扩大所学的基本理论知识,培养解决实际问题的能。 3.培养实事求是、严肃认真,细致踏实的科学作风和良好的实验习惯。为将来从事生产和科学实验打下必要的基础。 4.直观察常用电器的结构。了解其规格和用途,学会正确选择电器的方法。 5.掌握继电器、接触器控制线路的基本环节。 6.初步掌握可编程序控制器的使用方法及程序编制与调试方法。 应以严肃认真的精神,实事求是的态度。踏实细致的作风对待实验课,并在实验课中注意培养自己的独立工作能力和创新精神 二实验方法 做一个实验大致可分为三个阶段,即实验前的准备;进行实验;实验后的数据处理、分及写出实验报告。 1.实验前的准备 实验前应认真阅读实验指导书。明确实验目的、要求、内容、步骤,并复习有关理论知识,在实验前要能记住有关线路和实验步骤。 进入实验室后,不要急于联接线路,应先检查实验所用的电器、仪表、设备是否良好,了解各种电器的结构、工作原理、型号规格,熟悉仪器设备的技术性能和使用

方法,并合理选用仪表及其量程。发现实验设备有故障时,应立即请指导教师检查处理,以保证实验顺利进行。 2. 联接实验电路 接线前合理安排电器、仪表的位置,通常以便于操作和观测读数为原则。各电器相互间距离应适当,以联线整齐美观并便于检查为准。主令控制电器应安装在便于操作的位置。联接导线的截面积应按回路电流大小合理选用,其长度要适当。每个联接点联接线不得多余两根。电器接点上垫片为“瓦片式”时,联接导线只需要去掉绝缘层,导体部分直接插入即可,当垫片为圆形时,导体部分需要顺时针方向打圆圈,然后将螺钉拧紧,下允许有松脱或接触不良的情况,以免通电后产生火花或断路现象。联接导线裸露部分不宜过长。以免相邻两相间造成短路,产生不必要的故障。 联接电路完成后,应全面检查,认为无误后,请指导老师检查后,方可通电实验。 在接线中,要掌握一般的控制规律,例如先串联后并联;先主电路后控制电路;先控制接点,后保护接点,最后接控制线圈等。 3.观察与记录 观察实验中各种现象或记录实验数据是整个实验过程中最主要的步骤,必须认真对待。 进行特性实验时,应注意仪表极性及量程。检测数据时,在特性曲线弯曲部分应多选几个点,而在线性部分时则可少取几个点。 进行控制电路实验时。应有目的地操作主令电器,观察电器的动作情况。进一理解电路工作原理。若出现不正常现象时,应立即断开电源,检查分析,排除故障后继续实验。 注意:运用万用表检查线路故障时,一般在断电情况下,采用电阻档检测故障点;在通电情况下,检测故障点时,应用电压档测量(注意电压性质和量程;此外,还要注意

计算机组成原理虚拟实验指导书

计算机组成原理实验指导书 (虚拟实验系统)

实验1 1位全加器 ?实验目的 ?掌握全加器的原理及其设计方法。 ?熟悉组成原理虚拟教学平台的使用。 ?实验设备 与非门(3片)、异或门(2片)、开关若干、指示灯若干 ?实验原理 1位二进制加法器单元有三个输入量:两个二进制数Ai,Bi和低位传来的进位信号Ci,两个输出量:本位和输出Si以及向高位的进位输出C(i+1),这种考虑了全部三个输入量的加法单元称为全加器。来实验要求利用基本门搭建一个全加器,并完成全加器真值表。 ?实验步骤 各门电路芯片引脚显示于组件信息栏。 1. 测从组件信息栏中添加所需组件到实验流程面板中,按照图1.1所示搭建实验。 图1.1 组合逻辑电路实验流程图

2. 打开电源开关,按表1设置开关的值,完成表1-1。 表1-1 实验2 算术逻辑运算实验 ?实验目的 ?了解运算器的组成结构 ?掌握运算器的工作原理 ?掌握简单运算器的组成以及数据传送通路 ?验证运算功能发生器(74LS181)的组合功能 ?实验设备 74LS181(2片),74LS273(2片), 74LS245(2片),开关若干,灯泡若干,单脉冲一片 ?实验原理 实验中所用的运算器数据通路图如图2.1所示,实验中的运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74LS245)和数据总线相连,运算器的两个数据输入端分别由两个锁存器(74LS373)锁存,锁存器的输入连至数据总线,数据开关用来给出参与运算的数据(A和B),并经过一个三态门(74LS245)和数据显示灯相连,显示结果。 ?74LS181:完成加法运算 ?74LS273:输入端接数据开关,输出端181。在收到上升沿的时钟信号前181和其 输出数据线之间是隔断的。在收到上升沿信号后,其将输出端的数据将传到181, 同时,作为触发器,其也将输入的数据进行保存。因此,通过增加该芯片,可以通 过顺序输入时钟信号,将不同寄存器中的数据通过同一组输出数据线传输到181 芯片的不同引脚之中 ?74LS245:相当于181的输出和数据显示灯泡组件之间的一个开关,在开始实验后

杭电《过程控制系统》实验报告

实验时间:5月25号 序号: 杭州电子科技大学 自动化学院实验报告 课程名称:自动化仪表与过程控制 实验名称:一阶单容上水箱对象特性测试实验 实验名称:上水箱液位PID整定实验 实验名称:上水箱下水箱液位串级控制实验 指导教师:尚群立 学生姓名:俞超栋 学生学号:09061821

实验一、一阶单容上水箱对象特性测试实验一.实验目的 (1)熟悉单容水箱的数学模型及其阶跃响应曲线。 (2)根据由实际测得的单容水箱液位的阶跃响应曲线,用相关的方法分别确定它们的参数。二.实验设备 AE2000型过程控制实验装置,PC机,DCS控制系统与监控软件。 三、系统结构框图 单容水箱如图1-1所示: Q2 图1-1、单容水箱系统结构图 四、实验原理 阶跃响应测试法是系统在开环运行条件下,待系统稳定后,通过调节器或其他操作器,手动改变对象的输入信号(阶跃信号),同时记录对象的输出数据或阶跃响应曲线。然后根据已给定对象模型的结构形式,对实验数据进行处理,确定模型中各参数。 图解法是确定模型参数的一种实用方法。不同的模型结构,有不同的图解方法。单容水箱对象模型用一阶加时滞环节来近似描述时,常可用两点法直接求取对象参数。 如图1-1所示,设水箱的进水量为Q1,出水量为Q2,水箱的液面高度为h,出水阀

h1( t ) h1(∞ ) 0.63h1(∞) 0 T V 2固定于某一开度值。根据物料动态平衡的关系,求得: 在零初始条件下,对上式求拉氏变换,得: 式中,T 为水箱的时间常数(注意:阀V 2的开度大小会影响到水箱的时间常数),T=R 2*C ,K=R 2为单容对象的放大倍数,R 1、R 2分别为V 1、V 2阀的液阻,C 为水箱的容量系数。令输入流量Q 1 的阶跃变化量为R 0,其拉氏变换式为Q 1(S )=R O /S ,R O 为常量,则输出液位高度的拉氏变换式为: 当t=T 时,则有: h(T)=KR 0(1-e -1)=0.632KR 0=0.632h(∞) 即 h(t)=KR 0(1-e -t/T ) 当t —>∞时,h (∞)=KR 0,因而有 K=h (∞)/R0=输出稳态值/阶跃输入 式(1-2)表示一阶惯性环节的响应曲线是一单调上升的指数函数,如图1-2所示。当由实验求得图1-2所示的 阶跃响应曲线后,该曲线上升到稳态值的63%所对应时间,就是水箱的时间常数T ,该时间常数T 也可以通过坐标原点对响应曲线 图 1-2、 阶跃响应曲线

过程控制系统实验指导书解析

过程控制系统实验指导书 王永昌 西安交通大学自动化系 2015.3

实验一先进智能仪表控制实验 一、实验目的 1.学习YS—170、YS—1700等仪表的使用; 2.掌握控制系统中PID参数的整定方法; 3.熟悉Smith补偿算法。 二、实验内容 1.熟悉YS-1700单回路调节器与编程器的操作方法与步骤,用图形编程器编写简单的PID仿真程序; 2.重点进行Smith补偿器法改善大滞后对象的控制仿真实验; 3.设置SV与仿真参数,对PID参数进行整定,观察仿真结果,记录数据。 4.了解单回路控制,串级控制及顺序控制的概念,组成方式。 三、实验原理 1、YS—1700介绍 YS1700 产于日本横河公司,是一款用于过程控制的指示调节器,除了具有YS170一样的功能外,还带有可编程运算功能和2回路控制模式,可用于构建小规模的控制系统。其外形图如下: YS1700 是一款带有模拟和顺序逻辑运算的智能调节器,可以使用简单的语言对过程控制进行编程(当然,也可不使用编程模式)。高清晰的LCD提供了4种模拟类型操作面板和方便的双回路显示,简单地按前面板键就可进行操作。能在一个屏幕上对串级或两个独立的回路进行操作。标准配置I/O状态显示、预置PID控制、趋势、MV后备手动输出等功能,并且可选择是否通信及直接接收热偶、热阻等现场信号。对YS1700编程可直接在PC机上完成。

SLPC内的控制模块有三种功能结构,可用来组成不同类型的控制回路:(1)基本控制模块BSC,内含1个调节单元CNT1,相当于模拟仪表中的l台PID调节器,可用来组成各种单回路调节系统。 (2)串级控制模块CSC,内含2个互相串联的调节单元CNTl、CNT2,可组成串级调节系统。 (3)选择控制模块SSC,内含2个并联的调节单元CNTl、CNT2和1个单刀三掷切换开关CNT3,可组成选择控制系统。 当YS1700处于不同类型的控制模式时,其内部模块连接关系可以表示如下:(1)、单回路控制模式

过程控制系统仿真实验指导

过程控制系统Matlab/Simulink 仿真实验 实验一 过程控制系统建模 ............................................................................................................. 1 实验二 PID 控制 ............................................................................................................................. 2 实验三 串级控制 ............................................................................................................................. 6 实验四 比值控制 ........................................................................................................................... 13 实验五 解耦控制系统 . (19) 实验一 过程控制系统建模 指导内容:(略) 作业题目一: 常见的工业过程动态特性的类型有哪几种?通常的模型都有哪些?在Simulink 中建立相应模型,并求单位阶跃响应曲线。 作业题目二: 某二阶系统的模型为2 () 22 2n G s s s n n ?ζ??= ++,二阶系统的性能主要取决于ζ,n ?两个参数。试利用Simulink 仿真两个参数的变化对二阶系统输出响应的影响,加深对二阶 系统的理解,分别进行下列仿真: (1)2n ?=不变时,ζ分别为0.1, 0.8, 1.0, 2.0时的单位阶跃响应曲线; (2)0.8ζ=不变时,n ?分别为2, 5, 8, 10时的单位阶跃响应曲线。

计算机过程控制系统(DCS)课程实验指导书(详)

计算机过程控制系统(DCS)课程实验指导书实验一、单容水箱液位PID整定实验 一、实验目的 1、通过实验熟悉单回路反馈控制系统的组成和工作原理。 2、分析分别用P、PI和PID调节时的过程图形曲线。 3、定性地研究P、PI和PID调节器的参数对系统性能的影响。 二、实验设备 AE2000A型过程控制实验装置、JX-300X DCS控制系统、万用表、上位机软件、计算机、RS232-485转换器1只、串口线1根、网线1根、24芯通讯电缆1根。 三、实验原理 图2-15为单回路水箱液位控制系统 单回路调节系统一般指在一个调节对象上用一个调节器来保持一个参数的恒定,而调节器只接受一个测量信号,其输出也只控制一个执行机构。本系统所要保持的参数是液位的给定高度,即控制的任务是控制水箱液位等于给定值所要求的高度。根据控制框图,这是一个闭环反馈单回路液位控制,采用SUPCON JX-300X DCS控制。当调节方案确定之后,接下来就是整定调节器的参数,一个单回路系统设计安装就绪之后,控制质量的好坏与控制器参数选择有着很大的关系。合适的控制参数,可以带来满意的控制效果。反之,控制器参数选择得不合适,则会使控制质量变坏,达不到预期效果。一个控制系统设计好以后,系统的投运和参数整定是十分重要的工作。 一般言之,用比例(P)调节器的系统是一个有差系统,比例度δ的大小不仅会影响到余差的大小,而且也与系统的动态性能密切相关。比例积分(PI)调节器,由于积分的作用,不仅能实现系统无余差,而且只要参数δ,Ti调节合理,也能使系统具有良好的动态性能。比例积分微分(PID)调节器是在PI调节器的基础上再引入微分D的作用,从而使系统既无余差存在,又能改善系统的动态性能(快速性、稳定性等)。但是,并不是所有单回路控制系统在加入微分作用后都能改善系统品质,对于容量滞后不大,微分作用的效果并不明显,而对噪声敏感的流量系统,加入微分作用后,反而使流量品质变坏。对于我们的实验系统,在单位阶跃作用下,P、PI、PID调节系统的阶跃响应分别如图2-16中的曲线①、②、③所示。 图2-16 P、PI和PID调节的阶跃响应曲线

计算机组成原理实验

计算机组成原理上机实验指导

一、实验准备和实验注意事项 1.本课程实验使用专门的TDN-CM++计算机组成原理教学实验设备,使用前后均应仔细检查主机板,防止导线、元件等物品落入装置内导致线路短路、元件损坏。 2.完成本实验的方法是先找到实验板上相应的丝印字及其对应的引出排针,将排针用电缆线连接起来,连接时要注意电缆线的方向,不能反向连接;如果实验装置中引出排针上已表明两针相连,表明两根引出线内部已经连接起来,此时可以只使用一根线连接。 3.为了弄清计算机各部件的工作原理,前面几个实验的控制信号由开关单元“SWITCH UNIT”模拟输入;只有在模型机实验中才真正由控制器对指令译码产生控制信号。在每个实验开始时需将所有的开关置为初始状态“1”。 4.本实验装置的发光二极管的指示灯亮时表示信号为“0”,灯灭时表示信号为“1”。 5.实验接线图中带有圆圈的连线为实验中要接的线。 6.电源关闭后,不能立即重新开启,关闭与重启之间至少应有30秒间隔。 7.电源线应放置在机内专用线盒中。 8.保证设备的整洁。

二、实验设备的数据通路结构 利用本实验装置构造的模型机的数据通路结构框图如下图。其中各单元内部已经连接好,单元之间可能已经连接好,其它一些单元之间的连线需要根据实验目的用排线连接。 图0-2 模型机数据通路结构框图

实验一运算器实验:算术逻辑运算实验 一.实验目的 1.了解运算器的组成结构; 2.掌握运算器的工作原理; 3.掌握简单运算器的数据传送通路。 4.验证运算功能发生器(74LSl81)的组合功能。 二.实验设备 TDN-CM++计算机组成原理教学实验系统一台,排线若干。 三.实验原理 实验中所用的运算器数据通路如图1-l所示。其中两片74LSl81以串行方式构成8位字长的ALU,ALU的输出经过一个三态门(74LS245)和数据总线相连。三态门由ALU-B控制,控制运算器运算的结果能否送往总线,低电平有效。 为实现双操作数的运算,ALU的两个数据输入端分别由二个锁存器DR1、DR2(由74LS273实现)锁存数据。要将数据总线上的数据锁存到DR1、DR2中,锁存器的控制端LDDR1和LDDR2必须为高电平,同时由T4脉冲到来。 数据开关(“INPUT DEVICE”)用来给出参与运算的数据,经过三态门(74LS245)后送入数据总线,三态门由SW-B控制,低电平有效。数据显示灯(“BUS UNIT”)已和数据总线相连,用来显示数据总线上的内容。 图中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号外,其它均为电平信号。由于实验电路中的时序信号均已连至“W/R UNIT”的相应时序信号引出端,因此,在进行实验时,只需将“W/R UNIT”的T4接至“STATE UNIT”的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲。 ALU运算所需的电平控制信号S3、S2、S1、S0、Cn、M、LDDR1、LDDR2、ALU-B、SW-B均由“SWITCH UNIT”中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B为低电平有效,LDDRl、LDDR2为高电平有效。 对单总线数据通路,需要分时共享总线,每一时刻只能由一组数据送往总线。

过程控制系统实验报告

实验一过程控制系统的组成认识实验 过程控制及检测装置硬件结构组成认识,控制方案的组成及控制系统连接 一、过程控制实验装置简介 过程控制是指自动控制系统中被控量为温度、压力、流量、液位等变量在工业生产过程中的自动化控制。本系统设计本着培养工程化、参数化、现代化、开放性、综合性人才为出发点。实验对象采用当今工业现场常用的对象,如水箱、锅炉等。仪表采用具有人工智能算法及通讯接口的智能调节仪,上位机监控软件采用MCGS工控组态软件。对象系统还留有扩展连接口,扩展信号接口便于控制系统二次开发,如PLC控制、DCS控制开发等。学生通过对该系统的了解和使用,进入企业后能很快地适应环境并进入角色。同时该系统也为教师和研究生提供一个高水平的学习和研究开发的平台。 二、过程控制实验装置组成 本实验装置由过程控制实验对象、智能仪表控制台及上位机PC三部分组成。 1、被控对象 由上、下二个有机玻璃水箱和不锈钢储水箱串接,4.5千瓦电加热锅炉(由不锈钢锅炉内胆加温筒和封闭外循环不锈钢锅炉夹套构成),压力容器组成。 水箱:包括上、下水箱和储水箱。上、下水箱采用透明长方体有机玻璃,坚实耐用,透明度高,有利于学生直接观察液位的变化和记录结果。水箱结构新颖,内有三个槽,分别是缓冲槽、工作槽、出水槽,还设有溢流口。二个水箱可以组成一阶、二阶单回路液位控制实验和双闭环液位定值控制等实验。 模拟锅炉:锅炉采用不锈钢精致而成,由两层组成:加热层(内胆)和冷却层(夹套)。做温度定值实验时,可用冷却循环水帮助散热。加热层和冷却层都有温度传感器检测其温度,可做温度串级控制、前馈-反馈控制、比值控制、解耦控制等实验。 压力容器:采用不锈钢做成,一大一小两个连通的容器,可以组成一阶、二阶单回路压力控制实验和双闭环串级定值控制等实验。 管道:整个系统管道采用不锈钢管连接而成,彻底避免了管道生锈的可能性。为了提高实验装置的使用年限,储水箱换水可用箱底的出水阀进行。 2、检测装置 (液位)差压变送器:检测上、下二个水箱的液位。其型号:FB0803BAEIR,测量范围:0~1.6KPa,精度:0.5。输出信号:4~20mA DC。 涡轮流量传感器:测量电动调节阀支路的水流量。其型号:LWGY-6A,公称压力:6.3MPa,精度:1.0%,输出信号:4~20mA DC 温度传感器:本装置采用了两个铜电阻温度传感器,分别测量锅炉内胆、锅炉夹套的温度。经过温度传感器,可将温度信号转换为4~20mA DC电流信号。 (气体)扩散硅压力变送器:用来检测压力容器内气体的压力大小。其型号:DBYG-4000A/ST2X1,测量范围:0.6~3.5Mpa连续可调,精度:0.2,输出信号为4~20mA DC。 3、执行机构 电气转换器:型号为QZD-1000,输入信号为4~20mA DC,输出信号:20~100Ka气压信号,输出用来驱动气动调节阀。 气动薄膜小流量调节阀:用来控制压力回路流量的调节。型号为ZMAP-100,输入信号为4~20mA DC或0~5V DC,反馈信号为4~20mA DC。气源信号 压力:20~100Kpa,流通能力:0.0032。阀门控制精度:0.1%~0.3%,环境温度:-4~+200℃。 SCR移相调压模块:采用可控硅移相触发装置,输入控制信号0~5V DC或4~20mA DC 或10K电位器,输出电压变化范围:0~220V AC,用来控制电加热管加热。 水泵:型号为UPA90,流量为30升/分,扬程为8米,功率为180W。

单回路控制系统实验过程控制实验指导书

单回路控制系统实验 单回路控制系统概述 实验三单容水箱液位定值控制实验 实验四双容水箱液位定值控制实验 实验五锅炉内胆静(动)态水温定值控制实验 实验三 实验项目名称:单容液位定值控制系统 实验项目性质:综合型实验 所属课程名称:过程控制系统 实验计划学时:2学时 一、实验目的 1.了解单容液位定值控制系统的结构与组成。 2.掌握单容液位定值控制系统调节器参数的整定和投运方法。 3.研究调节器相关参数的变化对系统静、动态性能的影响。 4.了解P、PI、PD和PID四种调节器分别对液位控制的作用。 5.掌握同一控制系统采用不同控制方案的实现过程。 二、实验内容和(原理)要求 本实验系统结构图和方框图如图3-4所示。被控量为中水箱(也可采用上水箱或下水箱)的液位高度,实验要求中水箱的液位稳定在给定值。将压力传感器LT2检测到的中水箱液位信号作为反馈信号,在与给定量比较后的差值通过调节器控制电动调节阀的开度,以达到控制中水箱液位的目的。为了实现系统在阶跃

给定和阶跃扰动作用下的无静差控制,系统的调节器应为PI或PID控制。 三、实验主要仪器设备和材料 1.实验对象及控制屏、SA-11挂件一个、计算机一台、万用表一个; 2.SA-12挂件一个、RS485/232转换器一个、通讯线一根; 3.SA-44挂件一个、CP5611专用网卡及网线、PC/PPI通讯电缆一根。 四、实验方法、步骤及结果测试 本实验选择中水箱作为被控对象。实验之前先将储水箱中贮足水量,然后将阀门F1-1、F1-2、F1-7、F1-11全开,将中水箱出水阀门F1-10开至适当开度,其余阀门均关闭。 具体实验内容与步骤按二种方案分别叙述。 (一)、智能仪表控制 1.按照图3-5连接实验系统。将“LT2中水箱液位”钮子开关拨到“ON”的位置。 图3-4 中水箱单容液位定值控制系统

计算机组成原理实验指导书

计算机组成原理 实验报告 学号: 姓名: 提交日期: 成绩: 计算机组成原理实验报告 Computer Organization Lab Reports ______________________________________________________________________________ 班级: ____ 姓名:____学号:_____ 实验日期:____

一.实验目的 1. 熟悉Dais-CMX16+达爱思教仪的各部分功能和使用方法。 2. 掌握十六位机字与字节运算的数据传输格式,验证运算功能发生器及进位控制的组合功能。了解运算器的工作原理。 3. 完成算术、逻辑、移位运算实验,熟悉ALU运算控制位的运用。 ______________________________________________________________________________二.实验环境 Dais-CMX16+达爱思教仪 ______________________________________________________________________________三.实验原理 实验中所用的运算器数据通路如图1-1所示。ALU运算器由CPLD描述。运算器的输出经过2片74LS245三态门与数据总线相连,2个运算寄存器AX、BX的数据输入端分别由4个74LS574锁存器锁存,锁存器的输入端与数据总线相连,准双向I/O输入输出端口用来给出参与运算的数据,经2片74LS245三态门与数据总线相连。 图1-1 运算器数据通路 图1-1中,AXW、BXW在“搭接态”由实验连接对应的二进制开关控制,“0”有效,通过【单拍】按钮产生的负脉冲把总线上的数据打入,实现AXW、BXW写入操作。 表1-1 ALU运算器编码表 算术运算逻辑运算 M M13 M12 M11 功能M M13 M12 M11 功能 M S2 S1 S0 M S2 S1 S0 0 0 0 0 A+B+C 1 0 0 0 读B 0 0 0 1 A—B —C 1 0 0 1 非A 0 0 1 0 RLC 1 0 1 0 A-1

《过程控制系统》实验报告

《过程控制系统》实验报告 学院:电气学院 专业:自动化 班级:1505 姓名及学号:任杰311508070822 日期:2018.6.3

实验一、单容水箱特性测试 一、 实验目的 1. 掌握单容水箱阶跃响应测试方法,并记录相应液位的响应曲线。 2. 根据实验得到的液位阶跃响应曲线,用相关的方法确定被测对象的特征参数T 和传递函数。 二、 实验设备 1. THJ-FCS 型高级过程控制系统实验装置。 2. 计算机及相关软件。 3. 万用电表一只。 三、 实验原理 图1 单容水箱特性测试结构图 由图 2-1 可知,对象的被控制量为水箱的液位 h ,控制量(输入量)是流入水箱中的流量 Q 1,手动阀 V 1 和 V 2 的开度都为定值,Q 2 为水箱中流出的流量。根据物料平衡关系,在平衡状态时02010=-Q Q (式2-1),动态时,则有dt dV Q Q = -21,(式2-2)式中 V 为水箱的贮水容积,dt dV 为水贮存量的变化率,它与 h 的关

系为Adh dV =,即dt dh A dt dV =(式2-3),A 为水箱的底面积。把式(2-3)代入式(2-2)得dt dh A Q Q =-21(式2-4)基于S R h Q =2,S R 为阀2V 的液阻,(式2-4)可改写为dt dh A R h Q S =-1,1KQ h dt dh AR S =+或()()1s 1+=Ts K s Q H (式2-5)式中s AR T =它与水箱的底面积A 和2V 的S R 有关,(式2-5)为单容水箱的传递函数。若令()S R S Q 01=,常数=0R ,则式2-5可表示为()T S KR S R K S R T S T K S H 11/000+-=?+= 对上式取拉氏反变换得()()T t e KR t h /01--=(式2-6),当∞→t 时()0KR h =∞,因而有()0/R h K ∞==输出稳态值/阶跃输入,当T t =时,()() ()∞==-=-h KR e KR T h 632.0632.01010,式2-6表示一阶惯性响应曲线是一单调上升的指数函数如下图2-2所示 当由实验求得图 2-2 所示的阶跃响应曲线后,该曲线上升到稳态值的 63%所对应的时间,就是水箱的时间常数 T 。该时间常数 T 也可以通过 坐标原点对响应曲线作切线,切线与稳态值交点所对应的时间就是 时间常数 T ,由响应曲线求得 K 和 T 后,就能求得单容水箱的传递函 数如式(2-5)所示。 如果对象的阶跃响应曲线为图 2-3,则在此曲线的拐点 D 处作一切线,它与时间轴交于 B 点,与响应稳态值的渐近线交于 A 点。图中OB 即为对象的滞后时间

计算机组成原理实验指导书

计算机组成原理实验指导书 山东财经大学

第一节计算机组成原理常用部件实验 一、实验目的 1、掌握计算机组成原理常用部件的结构原理。 2、掌握常用部件的设计过程。 3、熟悉常用部件的功能与应用。 4、掌握常用部件的测试方法。 5、熟悉组成原理实验台和图形输入法软件的使用方法。 二、计算机组成原理中的常用部件 计算机组成原理中的常用部件通常指的是:加法器、数据选择器、译码器、寄存器和计数器等,这些常用部件均为运算器、总线、控制器、存储系统及数据通路的组成部分。熟练掌握常用部件对后续实验将有极大帮助。 三、实验系统置分调模式时,ispLSI1032E的输入、输出资源连接示意图 图1为本实验系统中ispLSI1032E的输入输出资源连接示意图。 ●输入开关:K15-8和K7-0共2组; ●发光管显示:LED15-8、LED7-0共2组; ●时钟脉冲:连续时钟和单脉冲2个; ●复位输入:RET2为ispLSI1032E的复位输入按键。 凡实验系统置分调模式时,以上输入、输出资源可任意编程使用。 图1 ispLSI1032E与输入、输出资源的连接示意图 四、常用部件实验 实验1 数据选择器 1、实验内容及说明 数据选择器是指从多路数据输入中选择一路作为输出,本实验要求设计一个三选一的数据选择器。图2所示为三路数据选择器的框图,图中:A= a3a2a1a0,B=b3b2b1b0,C=c3c2c1c0,E=e3e2e1e0。

2、实验步骤 (1)原理图输入:根据图3电路,采用图形输入法在计算机上完成实验电路的原理图输入。 (2)管脚定义:根据图1中的管脚连接示意图完成原理图中输入、输出管脚的定义。 其中a3a2a1a0定义在k15-k12(33-30),b3b2b1b0定义在k11-k8(29-26),c3c2c1c0定义在k7-k4(60-57),e3e2e1e0定义在LED3-LED0(79-76)。 图3 数据选择器原理图 (3)原理图编译、适配和下载:将实验系统中的模式开关(K23)置于分调模式;在图形输入软件环境中选择ispLSI1032E器件,进行原理图的编译和适配,无误后完成下载。 (4)数据选择器的调试:使用输入开关在数据选择器输入端预置任意数值,然后使AE、BE、CE 分别有效(高电平有效,即开关向上),观察输出E的值是否和相应的输入值相同。 (5)生成元件符号,以备以后使用。 实验2 寄存器 1、实验内容及说明 本实验要求设计一个8位的寄存器,其中d7—d0、q7—q0分别为寄存器的输入和输出,cp为寄存器的时钟脉冲。 图4为8位寄存器的框图。 图5电路为8位寄存器的线路原理图。

自动控制原理实验指导书(2017-2018-1)

自动控制原理实验指导书 王娜编写 电气工程与自动化学院 自动化系 2017年11月 实验一控制系统的时域分析

[实验目的] 1、熟悉并掌握Matlab 操作环境和基本方法,如数据表示、绘图等命令; 2、掌握控制信号的拉氏变换与反变换laplace 和ilaplace ,控制系统生成模型的常用函数命令sys=tf(num,den),会绘制单位阶跃、脉冲响应曲线; 3、会构造控制系统的传递函数、会利用matlab 函数求取系统闭环特征根; 4、会分析控制系统中n ζω, 对系统阶跃、脉冲响应的影响。 [实验内容及步骤] 1、矩阵运算 a) 构建矩阵:A=[1 2;3 4]; B=[5 5;7 8]; 解: >> A=[1 2;3 4] A = 1 2 3 4 >>B=[5 5;7 8] B = 5 5 7 8 b) 已知A=[1.2 3 5 0.9;5 1.7 5 6;3 9 0 1;1 2 3 4] ,求矩阵A 的特征值、特征多项式和特征向量. 解:>> A=[1.2 3 5 0.9;5 1.7 5 6;3 9 0 1;1 2 3 4]; >> [V ,D]=eig(A) V = 0.4181 -0.4579 - 0.3096i -0.4579 + 0.3096i -0.6044 0.6211 -0.1757 + 0.2740i -0.1757 - 0.2740i 0.0504 0.5524 0.7474 0.7474 -0.2826 0.3665 -0.1592 - 0.0675i -0.1592 + 0.0675i 0.7432 D = 13.0527 0 0 0 0 -4.1671 + 1.9663i 0 0 0 0 -4.1671 - 1.9663i 0 0 0 0 2.1815 >> p=poly(A) p = -6.9000 -77.2600 -86.1300 604.5500 2. 基本绘图命令 a) 绘制余弦曲线y=cos(x),x ∈[0,2π] 解:>> x=linspace(0,2*pi); >> y=cos(x); >> plot(x,y)

计算机组成原理实验指导书

SAC-T3D 计算机组成原理教学实验仪 实验指导书 电气与信息学院

SAC-T3D 计算机组成原理教学实验仪 实验指导书 电气与信息学院

目录 第一章概述 (1) 第二章实验部分 (3) 实验一时序电路组成、控制原理实验 (3) 实验二运算器组成实验 (6) 实验三半导体存贮器原理实验 (10) 实验四数据通路实验 (14) 实验五微程序控制器实验 (17)

第一章概述 SAC—T3C计算机组成原理实验仪是根据理工科院校计算机组成原理课程大纲的要求和计算机教学迅速发展的需要,在吸收了国内外先进教学成果的基础上设计定型的。 系统采用模块化组合结构,为大学本科、专科、成人高校等层次的《计算机组成原理》、《计算机组成与结构》、《逻辑设计》,等课程提供了实验条件。 整个系统由运算器电路、存贮器电路、数据通路电路、时序发生器电路、微程序控制器电路、模拟输入逻辑开关、脉冲发生电路、电平脉冲测试电路等组成。 由于系统的模块化,学生可通过一系列积木式实验,对CPU 内部的运算功能、控制功能、总线结构、指令系统的设计和微指令的实现以及CPU内部如何工作有直观、深刻的认识。在各项分实验的基础上,通过自己设计并实现一台模型机的运行。从而对计算机的原理、结构,从部件到分系统,直到整机有一个形象的、生动的、本质的认识。有利于培养学生的动手能力,创造性分析问题和解决问题的能力。 SAC-T3C计算机实验仪布局框图如图1。 其中存贮器、运算器及数据通路、时序、微程序控制电路将在今后逐一详细介绍和使用。前四个实验UMBIN和UMAOUT之间的扁平通信线不用插。 作为辅助电路主要有:脉冲电平测试电路用来进行电平测试和脉冲测试,脉冲产生电路用来产生单拍脉冲和连续脉冲,单拍脉冲输出为P和/P常用作实验中的单拍脉冲信号源。连续脉冲输出为Q1、Q2、Q3、Q4其中Q1~Q4为倍频关系,频率决定于晶体频率,如晶体频率为2M,Q1~Q4分别为1MHZ、500KHZ、250KHZ、125KHZ,在实验中可任选一频率作为时序电路中H的连续脉冲输入。

相关主题