搜档网
当前位置:搜档网 › Storm IV_E6&E10_FPGA_V2.0 使用手册

Storm IV_E6&E10_FPGA_V2.0 使用手册

Storm IV_E6&10_FPGA使用手册

阿东

恒创科技

2014/1/3

Storm IV_E6&10_FPGA使用手册

恒创科技

阿东

2014-01-03

目录

1写在前面 (6)

2开发板简介 (10)

3STORM IV_E6&E10_V2.0差异 (12)

3.1差异点 (12)

3.2Storm_IV_E6&Storm_IV_E10_V2差异使用说明 (13)

4开机必读 (13)

4.1背景知识 (13)

4.1.1供电 (13)

4.1.2如何爱护开发板 (13)

4.1.3FPGA简介 (14)

4.1.4什么是QUARTUS (15)

4.1.5什么是USB Blaster (15)

4.2如何测试开发板 (15)

4.2.1第一步 (15)

4.2.2第二步 (16)

4.2.3第三步 (19)

4.2.4第四步 (20)

4.2.5第四步 (21)

5学习指导 (21)

5.1第一步 (21)

5.2第二步 (21)

5.3第三步 (22)

5.4第四步 (22)

5.5第五步 (22)

5.6第六步 (22)

5.7第七步 (23)

5.8第八步 (23)

5.9第九步 (24)

5.11第十一步 (25)

6学习重点 (26)

6.1VHDL还是Verilog? (26)

6.2NIOS重要还是Verilog重要? (27)

6.3为什么要学习FPGA (27)

7硬件部分 (28)

7.1开发板功能示意图(FPGA为EP4CE6或者EP4CE10) (28)

7.2关于管脚约束 (30)

7.3LED发光二极管 (32)

7.4通用按键 (33)

7.5复位按键 (33)

7.6拨码开关 (34)

7.7晶振 (34)

7.8拨码开关 (35)

7.9七段数码管 (36)

7.10蜂鸣器 (36)

7.11PS/2接口 (37)

7.12UART串口 (37)

7.13VGA接口 (38)

7.14E2PROM (39)

7.15温度传感器LM75 (40)

7.16MAX485接口 (40)

7.17DS1302时钟 (41)

7.18串行FLASH (41)

7.19电机驱动 (42)

7.20时钟扩展口 (42)

7.21JTAG&AS接口 (43)

7.221602液晶接口 (44)

7.2312864液晶接口 (45)

7.24SDCARD接口 (46)

7.25SDRAM (47)

7.27扩展口 (49)

8软件部分 (51)

8.1功能测试程序 (51)

8.2基础类 (52)

8.3进阶类 (1)

8.4高级类 (3)

8.5TB类 (4)

8.6NIOS II类 (4)

9写在最后 (5)

1写在前面

作者简介:

大家好,我是阿东,我在通信行业做了6年的芯片设计,做了几款大型路由器和交换机芯片,写了6年的Verilog,对Verilog是熟悉的不能再熟悉了,对数据通信、QOS有深入研究和实现,精通数据通信各种协议,对通信网络有较深理解。精通ASIC、FPGA和Verilog 架构、方案、实现设计。

希望我的经历能让大家掌握项目开发的编码规范、设计思想、方案设计,给大家的学习和发展略进绵薄之力。

已经推出的教材包括:

《Verilog红宝书_语法篇_恒创科技出品V1.0》、

《Verilog红宝书_编程规范篇_恒创科技出品V1.1》、

《Verilog红宝书_仿真篇_恒创科技出品V1.1》、

《Verilog红宝书_设计思想篇_恒创科技出品V1.0》。

后续还会推出更多相关资料,包括方案设计、视频教程等,请大家关注我们。

我们专注于FPGA开发板和Verilog HDL教育,希望大家多支持我们,再次感谢大家!!

上述教材的很多例子程序都可以在我们开发板配套光盘中找到,配套光盘里面还有更多更好的例子。

我们的淘宝店铺:本店专注于FPGA开发板,有低端、中端、高端开发板供大家选择。

1、低端2C5FPGA开发板:(包括开发板+USB Blaster下载器+电源线+2个DVD光盘+USB串口线):适合没有任何基础,可以用来做学习和一般项目使用。

https://www.sodocs.net/doc/5210826759.html,/item.htm?spm=a230r.1.14.37.3IZ4fw&id =183********

2、中端4CE6FPGA开发板:(包括开发板+USB Blaster下载器+电源线+红外遥控器+2个DVD光盘):适合没有任何基础、有一定基础,可以用来做学习和一般项目、NIOS。

https://www.sodocs.net/doc/5210826759.html,/item.htm?id=35911884243

3、高端4CE10FPGA开发板1:(包括核心板+扩展板+USB Blaster下载器+电源线+2个DVD光盘):适合没有任何基础、有一定基础、基础较好,可以用来做学习和高级项目、NIOS。

https://www.sodocs.net/doc/5210826759.html,/item.htm?spm=a1z10.1.w4004-1006554 551.5.Z5bNtc&id=173********

4、高端4CE10FPGA开发板2:(包括开发板+USB Blaster下载器+电源线+红外遥控器+2个DVD光盘):适合没有任何基础、有一定基础,可以用来做学习和一般项目、NIOS。

https://www.sodocs.net/doc/5210826759.html,/item.htm?id=36973057621

5、高端4CE15FPGA开发板:(包括核心板+扩展板+USB Blaster 下载器+电源线+2个DVD光盘):适合有一定基础、基础较好,想一步到位的同学,可以用来做学习和高级项目、NIOS。

https://www.sodocs.net/doc/5210826759.html,/item.htm?spm=a1z10.1.w4004-2613814 661.5.IHzdr5&id=25969336978

2开发板简介

本开发手册目的是指导如何使用我们的暴风四代EP4CE6&E10开发板(STORM IV_E6&10)。

STORM IV_E6&10开发板的可以用来学习FPGA以及ASIC芯片的逻辑设计方法,还可以作为毕业设计、项目开发等使用。程序全部采用当前最流行的Verilog HDL语言开发。通过本套开发板可以掌握FPGA以及ASIC芯片的逻辑代码设计方法,RTL代码编写规范,层次设计方法,异步路径出来,FIFO管理,RAM表项读写,典型电路设计,掌握FPGA以及ASIC芯片的设计流程,STA分析方法,代码优化、综合方法。STORM IV_E6&10_V2.0程序使用QUARTUS II 11.0开发。

STORM IV_E6&10开发板使用ALTERA Cyclone IV四代FPGA 芯片,四代的FPGA速度、功耗都比之前的Cyclone I/II/III系列FPGA 优化很多。板子配套外设非常丰富,有SDRAM、串行FLASH、1602、12864、LED、按键、蜂鸣器、串口、VGA接口、扩展口、MAX485、电机驱动接口等等。

开发板图片如下:

开发板功能示意图(FPGA为EP4CE6或者EP4CE10):

3STORM IV_E6&E10_V2.0差异3.1差异点

3.2Storm_IV_E6&Storm_IV_E10_V2差异使用说明

开发板除了FPGA芯片不一样,其他的配置等完全一致,在使用的时候程序也完全兼容,E6的程序可以直接在E10的FPGA板子上运行,E10的程序也可以直接在E6的FPGA板子上运行,本光盘里面的程序是E6为例子,E10的客户可以直接下载E6的程序到E10的板子里面,或者在工程里面将EP4CE6E22C8重新选择为

EP4CE10E22C8芯片(管脚不需要重新分配,因为两个芯片的管脚完全相同)也可以(选择完后重新编译下即可)。请E6和E10的客户不要有疑惑。

4开机必读

4.1背景知识

4.1.1供电

本开发板使用USB电源供电,使用前请插上USB电源,USB电源可以使用电脑USB接口,也可以使用手机充电器(部分手机充电器可能出现不能JTAG下载失败的情况,如果出现此种情况请使用电脑USB接口供电),非常方便。

另外USB下载器也需要使用USB接口,所以正常来讲,本开发板需要两个USB接口,一个给开发板供电,一个给USB下载器使用。

4.1.2如何爱护开发板

开发板上面很多芯片,这些芯片在高压、静电存在时候可能会被烧坏,所以使用过程中请不要用手直接接触芯片、管脚、IO,避免开发板受静电烧毁,最好能带静电手套操作。另外在扩展开发的时候请不要接入大于3.3V电压输入到FPGA的IO上,当然FPGA的IO可以直接输出驱动5V的器件。

4.1.3FPGA简介

什么是FPGA?

FPGA是英文Field-Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA的组成:

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分,现在的FPGA内部一般还有RAM、PLL、硬件乘法器等硬件电路。

FPGA的管脚分类:

FPGA的IO主要包括普通IO,下载IO,电源,时钟IO。一般普通IO是可以作为输入和输出的,一般情况下可以任意分配,下载IO 是JTAG和AS等的下载专用管脚,部分下载管脚还可以复用为普通IO,电源脚包括电源和地,不可以分配,时钟IO一般是只能做输入的,晶振就是通过时钟IO输出的。

4.1.4什么是QUARTUS

QUARTUS软件是ALTERA FPGA进行编码、综合、布局布线、时序分析、生产可执行文件、程序下载的软件。

4.1.5什么是USB Blaster

USB Blaster下载器是将程序通过QUARTUS下载到FPGA里面的工具,有JTAG和AS两种下载方式,JTAG下载方式是直接将程序文件下载到FPGA里面,由于FPGA是SRAM结构,掉电后程序消失,AS下载方式是将程序下载到配置芯片里面,然后配置芯片自动加载到FPGA里面,掉电后程序不消失。一般使用JTAG做调试和下载。

JTAG口在板子上的PCB丝印标号为JTAG,AS口在板子上的PCB丝印标号为AS。

4.2如何测试开发板

当您拿到板子的时候,可能比较迷茫,如何进行测试,首先要了解测试需要将Quartus软件生成的程序文件下载到FPGA中,需要涉及QUARTUS软件使用和USB下载器下载,这两个东西在背景知识里面已经有介绍了。

4.2.1第一步(开发板简介)

首先观看我们的《视频教程_开发板简介.wmv》,整体熟悉下FPGA开发板,看完这个视频后,请您进行第二步操作。

4.2.2第二步(QUARTUS II软件安装和破解)

强调:请大家安装软件的时候务必严格按照我们的安装说明安装,很多客户都是因为不严格按照我们的破解说明导致破解失败,我们的破解已经破解了无数个电脑了!!!!

安装QUARTUS软件,此处直接看安装和破解视频即可,视频讲解位于光盘A\视频教程\配套视频目录:Quartus11.0安装与破解_32位操作系统.wmv和Quartus11.0安装与破解_64位操作系统.wmv(根据操作系统类型选择,操作系统是XP和WIN732的选择32位,操作系统是WIN764或者其他64位的选择64位),安装说明请见:光盘B\软件\ALTERA相关软件目录。

下面是文字描述:

WIN732&XP的软件安装和破解:(B盘\ALTERA软件\WIN7 32(含XP系统)破解目录下面)

软件安装说明:

1、先安装11.0_quartus_windows.exe,再安装

11.0_devices_windows.exe(位于A盘\软件\ALTERA下)

2、安装11.0_quartus_windows.exe或者

11.0_devices_windows.exe需要两个步骤:

第一步:先双击解压,解压路径必须使用默认路径(如果C盘空间不够,可以删除部分软件),否则下一步没有法进行安装。

第二步:解压完成后,自动弹出开始安装界面,此时强烈建议使用C盘(不容易出问题),C盘如果实在不行,安装D盘也可以,安装的路径除了盘符(C盘或者D盘)外强烈不建议手动更改。

软件破解说明:

#用于Quartus II11.0(32-Bit):

1、拷贝目录(B盘\ALTERA软件\WIN732(含XP系统)破解)下的sys_cpt.dll到C:\altera\11\quartus\bin(方法2:将quartus.ii.11.0破解器-patch.exe拷贝到C:\altera\11\quartus\bin下,然后点击破解即可)。

2、把license.dat里的XXXXXXXXXXXX用您老的网卡号替换(使用记事本打开,使用记事本的替换功能(CTRL+H)全部替换,不要使用手动替换,之前很多客户手动替换导致破解不成功)(网卡号在Quartus II11.0的Tools菜单下选择License Setup,下面就有NIC ID)。

3、在Quartus II11.0的Tools菜单下选择License Setup,然后选择License file,最后点击OK。

#注意:license文件存放的路径名称不能包含汉字和空格,空格可以用下划线代替。

#仅限于学习,不要用于商业目的!严禁贴到网上!!!

WIN764&WIN8的软件安装和破解:(B盘\ALTERA软件\WIN7 64&WIN8破解\Quartus11.0破解目录下面)

软件安装说明:

1、先安装11.0_quartus_windows.exe,再安装

11.0_devices_windows.exe(位于A盘\软件\ALTERA下

2、安装11.0_quartus_windows.exe或者

11.0_devices_windows.exe需要两个步骤:

第一步:先双击解压,解压路径必须使用默认路径(如果C盘空间不够,可以删除部分软件),否则下一步没有法进行安装。

第二步:解压完成后,自动弹出开始安装界面,此时强烈建议使用C盘(不容易出问题),C盘如果实在不行,安装D盘也可以,安装的路径除了盘符(C盘或者D盘)外强烈不建议手动更改。

软件破解说明:

#用于Quartus II11.0(64-Bit):

1、首先需要安装QUARTUS软件(先安装

11.0_quartus_windows.exe,再安装11.0_devices_windows.exe(位于A盘\软件\ALTERA下)。

2、直接把Quartus_II_11.0_x64破解器(内部版).exe拷贝到C:\altera\11.0\quartus\bin64下,点击开始破解!。

3、如果是WIN764系统,运行LicenseMaker.exe(解压的时候杀毒软件可能会认为是病毒文件,需要把此文件添加到杀毒软件信任里面),保存在C:\altera\11.0\quartus\目录下。

如果是WIN8系统,把Quartus_II_11.0_x64破解器生成的license.dat(C:\altera\11.0\quartus\bin64下面)里的XXXXXXXXXXXX用您老的网卡号替换(使用记事本打开,使用记事本的替换功能(CTRL+H)全部替换,不要使用手动替换,之前很多客户手动替换导致破解不成功)(网卡号在Quartus II11.0的Tools菜单下选择License Setup,下面就有NIC ID)。

4、打开Quartus II11.0的Tools菜单下选择License Setup,然后选择license.dat(位于C:\altera\11.0\quartus\bin64下面),最后点击OK。

#仅限于学习,不要用于商业目的!严禁贴到网上!!!

破解成功的标志

注意:破解成功的标志是将光盘A\Project\FuncTest v1.0(开发板配套测试程序)\LED工程全编译通过(没有错误,可以有警告),全编译

是点击QUARTUS软件中的图标,需要将这个LED工程目录拷贝到D盘下面,保证路径中没有中文,否则编译会出错。

4.2.3第三步(安装USB下载器驱动)

QUARTUS软件安装完成后,请安装USB Blatser下载器驱动,此处直接看安装和破解视频即可,视频讲解位于光盘A\视频教程\配套视频目录:Quartus11.0安装与破解_32位操作系统.wmv和Quartus 11.0安装与破解_64位操作系统.wmv(根据操作系统类型选择,操作系统是XP和WIN732的选择32位,操作系统是WIN764或者WIN8 64位的选择64位),安装说明请见:光盘A\USB Blaster目录。

注意:

很多客户装完USB下载器驱动但是,在QUARTUS里面找不到USB下载器,原因有两个:

1、USB下载器驱动安装有问题,没有严格按照我们的安装说明安装(安装说明请见:光盘A\USB Blaster目录)。

2、电脑存在某些安全软件,比如杀毒软件、宽带代理软件等,建议把这些软件全部都关闭了,然后安装USB下载器驱动。

4.2.4第四步(开发板测试)

QUARTUS安装完成、USB下载器驱动安装完成后,就可以进行测试了,测试之前请您观看光盘A\视频教程\配套视频教程\视频教程_新建Quartus工程.wmv,这个视频讲解了QUARTUS如何操作,如何下载程序等基础知识,您看完这个视频后也就清楚了如何下载程序。

测试的时候只要QUARTUS打开就可以了,不需要重新编译工程的,因为我们默认已经编译好了,您只需要点击编程按钮通过JTAG 下载到FPGA即可测试。

测试主要完成下面几个测试即可:

1、LED工程,现象:sof文件下载到板子上LED流水显示。

2、KeyToLED工程,现象:sof下载到板子上,四个按键分别控制8个LED显示。

3、KeyToBeep工程,现象:sof下载到板子上,复位按键旁边的普通按键控制蜂鸣器是否发声。

4、lm75_rd工程,现象:sof下载到板子上,等几秒钟后LED 会有几个发光,吹气到LM75芯片上(温度发生变化),LED显示发生变化。

5、SDRAM工程,现象:sof下载到板子上,LED间插发光(四个LED灯亮,四个LED不亮)。

6、SegLed工程,现象:sof下载到板子上,数码管最高位从0计数到9。

7、LCD1602工程,现象:先将LCD1602插到开发板上,然后上电,将sof下载到板子上,LCD1602显示欢迎字母。

说明:

如果您没有LCD1602,LCD1602可以不用测试。

当您测试完7个工程后,说明开发板基本没有问题了,如果有问题请收到货三天内及时联系客服,如果没有问题,请亲记得五分好评哦,谢谢您啦!

4.2.5第五步

您可以开始正式学习了,学习前先请您看下FPAG学习指导。

5学习指导

亲,您好,这里首先介绍下FPGA入门的一些步骤。

5.1第一步

先了解FPGA和Verilog,知道这两个东西能做什么,用在哪里,这个可以baidu下的。

5.2第二步

知道FPGA和Verilog是什么、重要性后,您得抓紧时间学习了,一万年不太久,只争朝夕!

5.3第三步

如何学习FPGA和Verilog呢,最好有个导师指导,但是,这个一般可遇不可求。没有导师辅导的情况下,只能靠自己,这里建议大家买个开发板学习。如果您购买了我们的开发板,那么我们就是您的入门导师,有不清楚的地方可以QQ、旺旺、电话联系我们。另外我们开发板会送很多资料,还有程序以及硬件知识,对您学习FPGA和Verilog有非常大的帮助,只有动手实践了,学到的东西才是您自己的。

5.4第四步

先对Altera的FPGA芯片有个基本了解,知道FPGA有AS和JTAG两种下载模式,然后安装配套软件,当然前面功能测试已经安装过软件了,初期安装QUARTUS(自带NIOS II软件)即可,后期可以安装Modelsim(软件安装请看Modelsim目录的使用前必读)。

5.5第五步

功能测试完成后,您对FPGA应该大概有个感觉,此时应该可以激起您学习FPGA的兴趣了。

相关主题