搜档网
当前位置:搜档网 › 全数字锁相环毕业设计终稿样本

全数字锁相环毕业设计终稿样本

安徽大学

本科毕业论文(设计、创作)

题目:全数字锁相环研究与设计

学生姓名:郑义强学号:P3*******

院(系):电子信息工程学院专业:微电子

入学时间:年9月

导师姓名:吴秀龙职称/学位:专家/博士

导师所在单位:安徽大学电子信息工程学院

完毕时间:年5月

全数字锁相环研究与设计

摘要

锁相环路设计和应用是当今反馈控制技术领域关注热点,它构造五花八门,但捕获时间短,抗干扰能力强始终是衡量锁相环性能好坏一种原则。本文是在阅读了大量国内外关于全数字锁相环技术文献基本上,总结了锁相环发呈现状与技术水平,进一步分析了全数字锁相环基本构造与基本原理,运用VHDL语言,采用自上而下设计办法,设计了一款全数字锁相环.本文重要描述了一种设计一阶全数字锁相环办法,一方面分析了课题研究意义、锁相环发展历程研究现状,然后描述了全数字锁相环各个构成部件,并且详细分析了锁相环鉴相器、变模可逆计数器、加减脉冲电路、除H计数器和除N计数器各个模块工作原理。接着咱们使用了VHDL语句来完毕了鉴相器、数字滤波器和数字振荡器设计,并且分别使用仿真工具MAX +plus II逐个验证各个模块功能。最后,将各个模块整合起来,建立了一种一阶全数字锁相环电路,运用仿真工具MAX+plus II 验证了它功能能否实现,仿真成果与理论分析基本符合。

核心词:全数字锁相环;数字滤波器;数字振荡器;锁定期间

Design and research of ALL Digital Phase-Locked

Loop

Abstract

The design and application of phase-locked loop is the focus of attention in the field of feedback control technology today,phase- locked loop has played a very important and unique role in variety of applications. such as the radar,measurement,communications,etc. All-digital phase-locked loop has its unique advantages. Its structure is varied,but short capture time,small synchronization error,excellent anti-interference ability is the standard measure of performance of a phase-locked loop. On the basis of reading a lot of DPLL technology literature of domestic and abroad,this article summed up the present situation and the development level of phase-locked loop technology,analysis the basic structure and principle of all-digital phase-locked loop in-depth,designed a quick all-digital phase-locked loop by using VHDL language and top-down design approach. In this brief,we presented a way of designing a first-order ALL Digital Phase-Locked Loop (ADPLL) first analyzes the significance of research,the development course of phase-locked loop current research status,and then describes the component parts of all digital phase-locked loop,and detailed analysis of the phase lock loop phase discriminator,reversible counter change mould,add and subtract pulse circuit,in addition to H counter and divide N working principle of each module. Then we use the VHDL statements to complete the phase discriminator,digital filter and the design of the digital oscillator,and using the simulation tool of MAX + plus II one by one to verify the function of each module. Finally,the various modules together,established a first-order digital phase-locked loop circuit,using the simulation tool of MAX + plus II verify the realization of its function,the simulation results and principle Keywords:All Digital Phase-Locked Loop;Digital filter;Digital oscillator,

Locking time

目录

1.绪论.......................................... 错误!未定义书签。

1.1 课题研究目意义................................ 错误!未定义书签。

1.2 锁相环发展历程................................ 错误!未定义书签。

1.3 研究和发展.................................... 错误!未定义书签。

1.4 设计工具及设计语言............................ 错误!未定义书签。

2. 全数字锁相环构造与工作原理..................... 错误!未定义书签。

2.1 鉴相器........................................ 错误!未定义书签。

2.2 变模可逆计数器(模数K可预置) ................ 错误!未定义书签。

2.3 加/减脉冲控制器............................... 错误!未定义书签。

2.4 除H计数器.................................... 错误!未定义书签。

2.5 除N计数器.................................... 错误!未定义书签。

3. 全数字锁相环模块设计与仿真..................... 错误!未定义书签。

3.1 鉴相器设计.................................... 错误!未定义书签。

3.2 数字环路滤波器设计............................ 错误!未定义书签。

3.3 用VHDL语言实现除H计数器..................... 错误!未定义书签。

3.4 用VHDL语言实现加/减脉冲控制器 ................ 错误!未定义书签。

3.5 除N计数器(分频器)实现...................... 错误!未定义书签。

4. 全数字锁相环整体仿真........................... 错误!未定义书签。

5. 结语与展望..................................... 错误!未定义书签。

5.1 总结.......................................... 错误!未定义书签。

5.2 展望.......................................... 错误!未定义书签。重要参照文献...................................... 错误!未定义书签。致谢............................................ 错误!未定义书签。

全数字锁相环原理及应用

全数字锁相环原理及应用 2011年11月18日 摘要:锁相环是一种相位负反馈系统,它能够有效跟踪输入信号的相位。随着数字集成电路的发展,全数字锁相环也得到了飞速的发展。由于锁相精度和锁定时间这组矛盾的存在使得传统的全数字锁相环很难在保证锁定时间的情况下保证锁定精度。鉴于此,本文对一些新结构的全数字锁相环展开研究,并用VHDL语言编程,利用FPGA仿真。 为解决软件无线电应用扩展到射频,即射频模块软件可配置的问题和CMOS工艺中由于电压裕度低、数字开关噪声大等因素,将射频和数字电路集成在一个系统中设计难度大的问题,本文尝试提出数字射频的新思路。全数字锁相环是数字射频中最重要的模块之一,它不仅是发射机实现软件可配置通用调制器的基础,还是为接收机提供宽调频范围本振信号的基础。本文针对数字射频中的数字锁相环的系统特性以及其各重要模块进行了研究。 关键词:全数字锁相环;锁定时间;锁定精度;PID控制;自动变模控制;数控振荡器;时间数字转换器;数字环路滤波器;FPGA; Principle and Application of all-digital phase-locked loop Abstract: Phase-Locked Loop is a negative feedback system that can effectively track the input signal’s phase. With the development of digital integrated circuits, all-digital phase-locked loop has also been rapidly developed. Because of the contradiction between the existence of phase-locked precision and phase-locked time, it makes the traditional all-digital phase-locked loop difficult to ensure the lock time meanwhile as well as phase-locked precision. So some new structures of all-digital phase-locked loop are analyzed in this paper and programmed in VHDL language with simulation under FPGA. In order to extend the application from radio to RF, which including RF modules software configurable problems and the difficulty to integrate RF and digital circuit in one system due to some factors contain the low voltage and large noise of the digital switches etc. This paper will try to put out a new thought for digital RF. All-digital phase-locked loop is one of the most important modules in digital RF. It is not only the foundation of transmitter which can be realized by software configurable general modulator, but also the foundation of receiver which can be provided wide range of local vibration signal. This paper particularly makes a study of the system character of tall-digital phase-locked loop and its vital modules. Keywords: ADPLL; Locked time; Locked precision; PID control; Auto modulus control; DCO;TDC; Digital Loop Filter; 1. 引言 锁相环路是一种反馈控制电路,锁相环的英文全称是Phase-Locked Loop,简称PLL。目前锁相环在通信、信号处理、调制解调、时钟同步、频率综合和自动化控制等领域应用极为广泛,已经成为各种电子设备中不可缺少的基本部件。随着电子技术向数字化方向发展,需要采用数字方式实现信号的锁相处理。因此,对全数字锁相环的研究和应用得到了越来越多的关注。虽然锁相环(PLL)技术已经有了半个多世纪的发展,但是其应用领域也在不断扩大,随着高新科技的发展,使得它的性能需要不断地改进和提高,因此,锁相环的设计与分析也成立集成电路设计者的热点。设计者们也不断提出了新的锁相环结构[1-3],以适应不同场合的需求。

全数字锁相环毕业设计终稿

安徽大学 本科毕业论文(设计、创作) 题目:全数字锁相环的研究与设计 学生姓名:郑义强学号:P3******* 院(系):电子信息工程学院专业:微电子 入学时间:2011年9月 导师姓名:吴秀龙职称/学位:教授/博士 导师所在单位:安徽大学电子信息工程学院 完成时间:2015 年5月

全数字锁相环的研究与设计 摘要 锁相环路的设计和应用是当今反馈控制技术领域关注的热点,它的结构五花八门,但捕获时间短,抗干扰能力强一直是衡量锁相环性能好坏的一个标准。本文是在阅读了大量国内外关于全数字锁相环的技术文献的基础上,总结了锁相环的发展现状与技术水平,深入分析了全数字锁相环的基本结构与基本原理,利用VHDL语言,采用自上而下的设计方法,设计了一款全数字锁相环.本文主要描述了一种设计一阶全数字锁相环的方法,首先分析了课题研究的意义、锁相环的发展历程研究现状,然后描述了全数字锁相环的各个组成部件,并且详细分析了锁相环鉴相器、变模可逆计数器、加减脉冲电路、除H计数器和除N计数器各个模块的工作原理。接着我们使用了VHDL语句来完成了鉴相器、数字滤波器和数字振荡器的设计,并且分别使用仿真工具MAX+plus II逐个验证各个模块的功能。最后,将各个模块整合起来,建立了一个一阶全数字锁相环的电路,利用仿真工具MAX+plus II 验证了它的功能的能否实现,仿真结果与理论分析基本符合。 关键词:全数字锁相环;数字滤波器;数字振荡器;锁定时间

Design and research of ALL Digital Phase-Locked Loop Abstract The design and application of phase-locked loop is the focus of attention in the field of feedback control technology today, phase- locked loop has played a very important and unique role in variety of applications. such as the radar, measurement,communications, etc. All-digital phase-locked loop has its unique advantages. Its structure is varied, but short capture time, small synchronization error, excellent anti-interference ability is the standard measure of performance of a phase-locked loop. On the basis of reading a lot of DPLL technology literature of domestic and abroad, this article summed up the present situation and the development level of phase-locked loop technology, analysis the basic structure and principle of all-digital phase-locked loop in-depth, designed a quick all-digital phase-locked loop by using VHDL language and top-down design approach. In this brief, we presented a way of designing a first-order ALL Digital Phase-Locked Loop (ADPLL) first analyzes the significance of research, the development course of phase-locked loop current research status, and then describes the component parts of all digital phase-locked loop, and detailed analysis of the phase lock loop phase discriminator, reversible counter change mould, add and subtract pulse circuit, in addition to H counter and divide N working principle of each module. Then we use the VHDL statements to complete the phase discriminator, digital filter and the design of the digital oscillator, and using the simulation tool of MAX + plus II one by one to verify the function of each module. Finally, the various modules together, established a first-order digital phase-locked loop circuit, using the simulation tool of MAX + plus II verify the realization of its function, the simulation results and principle Keywords: All Digital Phase-Locked Loop; Digital filter; Digital oscillator, Locking time

毕业设计参考示例教材

----++ 图书分类号: 密级: s 毕业设计总说明书 学生姓名周志强 学校名称四川交通运输职业学校 专业名称道路桥梁工程系 指导教师 2016年5月25日

本设计为山岭重丘区二级路设计,该公路采用双向两车道形式,设计时速为60km/h,路面结构采用沥青路面。整个排水系统由边沟、排水沟、涵洞、跌水井、急流槽组成。路线全长1220m。 公路线路方案的拟定,公路的平纵横设计和土石方计算,公路路线方案的确定。然后进行施工图设计,把20米设为一桩。 沿线自然地理概况:自然地理条件:该区属亚热带温润气候,四季分明,冬暖夏热,年平均气温17~18.8℃,极端最高气温43℃,极端最低气温-3.8℃。年平均降雨量1032mm,年平均雾日50天,平均风速1.75m/s。山坡地段上覆盖1~3m粘土表层,下为粉砂质泥岩及长石石英砂岩,呈互层状产出;水田段淤泥0.5m,其下2~5m粘土。 平面设计 注:该表查《公路工程技术标准》可得。 4.1.2.1 平曲线要素的计算

图 4-3 平曲线几何要素图 ①圆曲线(不接缓和曲线)的计算公式: 切线长2 tan α ?=R T y 曲线长180 R L y ??= απ 外距)12 (sec -?=α R E y 校正数y y y L T J -=2 ②圆曲线(接缓和曲线)的计算公式: 切线长q p R T +?+=2 tan )(α 曲线长002180 )2(l R L +?-?= βαπ 外距R p R E -?+=2 sec )(α 校正数L T J -=2 其中 2 l q =,R l p 2420=,R l πβ0090= 具体计算如下: Ⅰ.JD1处: 取圆曲线半径R=400m ,缓和曲线长度Ls=90m ,偏角α=26°33′54″

毕业设计论文:PLL锁相环电路

摘要 随着通信及电子系统的飞速发展,促使集成锁相环和数字锁相环突飞猛进。本次毕业设计的主要任务是,采用0.18μm CMOS 工艺,设计实现一个基于改进的鉴频鉴相器,压控振荡器,环路滤波器的全集成的CMOS PLL 锁相环电路,设计重点为PLL 锁相环电路的版图设计,设计工具为Laker。 本论文介绍了PLL 锁相环电路的基本原理以及其完整的版图设计结果。本次设计表明,采用该方案实现的锁相环电路主要功能工作正常,初步达到设计要求。 关键词:PLL 锁相环电路,鉴频鉴相器,压控振荡器,环路滤波器,版图设计, 0.18μm CMOS 工艺 Abstract

With the development of the communications and electronic systems, the technology of the integrated PLL and digital PLL develops rapidly. The main task of graduation is to design and realize a fully integrated CMOS PLL circuit which is based on an improved phase detector, VCO, loop filter using the 0.18 μ m CMOS technolog. yThe design focus on the layout of the PLL circuit, and the design tools is the Laker. This paper introduces the basic principles of PLL phase locked loop circuit and its comprehensive layout results. This design shows that the program implemented by the main function of PLL circuit is working well, and it meets the design requirements. Key words: PLL phase locked loop circuits, popularly used phase detectors, discrimination, VCO loop filter, layout design, 0.18

数字锁相环介绍

数字锁相环介绍

————————————————————————————————作者:————————————————————————————————日期:

数字锁相环试验讲义 一、锁相环的分类 模拟、数字如何定义?何谓数字锁相环。是指对模拟信号进行采样量化之后(数字化)的“数字信号”的处理中应用的锁相环,还是指的对真正的“数字信号”如时钟波形进行锁定的锁相环? 二、数字锁相环的实际应用 欲成其事,先明其义。 现代数字系统设计中,锁相环有什么样的作用。 1)在ASIC设计中的应用。 主要应用领域:窄带跟踪接收;锁相鉴频;载波恢复;频率合成。 例一:为了达到ASIC设计对时钟的要求,许多工程师都在他们的设计中加入了锁相环(PLL)。PLL有很多理想的特性,例如可以倍频、纠正时钟信号的占空比以及消除时钟在分布中产生的延迟等。这些特性使设计者们可以将价格便宜的低频晶振置于芯片外作为时钟源,然后通过在芯片中对该低频时钟源产生的信号进行倍频来得到任意更高频率的内部时钟信号。同时,通过加入PLL,设计者还可以将建立-保持时间窗与芯片时钟源的边沿对齐,并以此来控制建立-保持时间窗和输入时钟源与输出信号之间的延迟。 2)在信号源产生方面的应用 例二:由于无线电通信技术的迅速发展,对振荡信号源的要求也在不断提高。不但要求它的频率稳定度和准确度高,而且要求能方便地改换频率。实现频率合成有多种方法,但基本上可以归纳为直接合成法与间接合成法(锁相环路)两大类。 3)无线通信领域的实际应用 例三:GSM手机的频率系统包括参考频率锁相环,射频本振锁相环、中频本振锁相环。 广义的数字锁相环包括扩频通信中的码跟踪。 三、数字锁相环的基本原理 一般数字锁相环路的组成与模拟锁相环路相同,即也是由相位检波器、环路滤波器和本地振荡器等基本部件构成,但这些部件全部采用数字电路。具体来说数字锁相环由:数字鉴相器、数字环路滤波器、NCO和分频器组成。 四、实际应用中的数字锁相环的实现方法 PLL的结构和功能看起来十分简单,但实际上却非常复杂,因而即使是最好的电路设计者也很难十分顺利地完成PLL的设计。 在实际应用中,针对数字信号或数字时钟的特点,数字锁相环多采用超前滞后型吞吐脉冲的锁相环路来实现。 下面的框图是一个实用的数字锁相环的实现框图。

全数字锁相环的设计

全数字锁相环的设计 锁相环()技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环()与传统的模拟电路实现的相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需及转换。随着通讯技术、集成电路技术的飞速发展和系统芯片()的深入研究,必然会在其中得到更为广泛的应用。 这里介绍一种采用硬件描述语言设计的方案。 结构及工作原理 一阶的基本结构如图所示。主要由鉴相器、变模可逆计数器、脉冲加减电路和除计数器四部分构成。变模计数器和脉冲加减电路的时钟分别为和。这里是环路中心频率,一般情况下和都是的整数幂。本设计中两个时钟使用相同的系统时钟信号。 图数字锁相环基本结构图 鉴相器 常用的鉴相器有两种类型:异或门()鉴相器和边沿控制鉴相器(),本设计中采用异或门()鉴相器。异或门鉴相器比较输入信号相位和输出信号相位之间的相位差ФФФ,并输出误差信号作为变模可逆计数器的计数方向信号。环路锁定时,为一占空比的方波,此时的绝对相为差为°。因此异或门鉴相器相位差极限为±°。异或门鉴相器工作波形如图所示。

图异或门鉴相器在环路锁定及极限相位差下的波形 变模可逆计数器 变模可逆计数器消除了鉴相器输出的相位差信号中的高频成分,保证环路的性能稳定。变模可逆计数器根据相差信号来进行加减运算。当为低电平时,计数器进行加运算,如果相加的结果达到预设的模值,则输出一个进位脉冲信号给脉冲加减电路;当为高电平时,计数器进行减运算,如果结果为零,则输出一个借位脉冲信号给脉冲加减电路。 脉冲加减电路 脉冲加减电路实现了对输入信号频率和相位的跟踪和调整,最终使输出信号锁定在输入信号的频率和信号上,工作波形如图所示。 图脉冲加减电路工作波形 除计数器

毕业设计商务标样本

×××工程投标文件 ( 商务标) 投标单位: ××× 法人代表: ××× 投标时间: 二零一二年月一日 ×××楼工程

工程量清单 工程造价 招标人: 咨询人: ( 单位盖章) ( 单位资质专用章) 法定代表人法定代表人 或其授权人: 或其授权人: ( 签字或盖章) ( 签字或盖章) 编制人: 复核人: ( 造价人员签字盖专用章) ( 造价工程师签字盖专用章) 编制时间: 年月日复核时间: 年月日

目录 1投标书.............................................. 错误!未定义书签。2投标书附件.......................................... 错误!未定义书签。3授权书.............................................. 错误!未定义书签。4投标现金担保声明.................................... 错误!未定义书签。5法定代表人身份证明.................................. 错误!未定义书签。6承诺函.............................................. 错误!未定义书签。7工程量清单编制说明.................................. 错误!未定义书签。8附各项表............................................ 错误!未定义书签。结论................................................ 错误!未定义书签。致谢................................................ 错误!未定义书签。参考文献........................................... 错误!未定义书签。

全数字锁相环的设计

全数字锁相环的设计 锁相环(PLL)技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环(DPLL)与传统的模拟电路实现的PLL相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需A/D及D/A转换。随着通讯技术、集成电路技术的飞速发展和系统芯片(SoC)的深入研究,DPLL必然会在其中得到更为广泛的应用。 这里介绍一种采用VERILOG硬件描述语言设计DPLL的方案。 DPLL结构及工作原理 一阶DPLL的基本结构如图1所示。主要由鉴相器、K变模可逆计数器、脉冲加减电路和除N计数器四部分构成。K变模计数器和脉冲加减电路的时钟分别为Mfc和2Nfc。这里fc是环路中心频率,一般情况下M和N都是2的整数幂。本设计中两个时钟使用相同的系统时钟信号。 图1 数字锁相环基本结构图 鉴相器 常用的鉴相器有两种类型:异或门(XOR)鉴相器和边沿控制鉴相器(ECPD),本设计中采用异或门(XOR)鉴相器。异或门鉴相器比较输入信号Fin相位和输出信号Fout相位之间的相位差Фe=Фin-Фout,并输出误差信号Se作为K变模可逆计数器的计数方向信号。环路锁定时,Se为一占空比50%的方波,此时的绝对相为差为90°。因此异或门鉴相器相位差极限为±90°。异或门鉴相器工作波形如图2所示。

图2 异或门鉴相器在环路锁定及极限相位差下的波形 K变模可逆计数器 K变模可逆计数器消除了鉴相器输出的相位差信号Se中的高频成分,保证环路的性能稳定。K变模可逆计数器根据相差信号Se来进行加减运算。当Se 为低电平时,计数器进行加运算,如果相加的结果达到预设的模值,则输出一个进位脉冲信号CARRY给脉冲加减电路;当Se为高电平时,计数器进行减运算,如果结果为零,则输出一个借位脉冲信号BORROW给脉冲加减电路。 脉冲加减电路 脉冲加减电路实现了对输入信号频率和相位的跟踪和调整,最终使输出信号锁定在输入信号的频率和信号上,工作波形如图3所示。 图3 脉冲加减电路工作波形 除N计数器

本科毕业论文参考模板

聊城大学东昌学院 本科生毕业论文(设计) 题目:影响我国房价的因素分析 专业代码:020101 作者姓名:崔帅 学号:20080020732 单位:经法系08级经济学1 班

指导教师:沈红梅 年月日

文件四 本科毕业论文(设计)参考模板 说明:①毕业论文(设计)原则上采用计算机打印。使用A4纸张,边距为:上下各为2.5cm,左右各为2.8cm,装订线0.5cm(居左),页眉、页脚各 为1.5cm。页眉内容:“聊城大学本科毕业论文(设计)”,黑体,5号, 居中。 ②全文1.5倍行间距。 目录(标题用黑体3号,加粗,居中) 前言(宋体4号字,加粗) - - - - - - - - - - - - - - - - - 1 1.正文一级标题(宋体4号字,加粗)- - - - -- - - - - - - - - - - -- - -- 1 1.1 正文二级标题(宋体小4号字)-- - -- - - - - - - --- - - - - - -- - - - - - - 3 1.1.1正文三级标题(宋体小4号字)- -- -- - -- - - - - - - -- - - - - - 5 1.1.2正文三级标题(宋体小4号字)- - - - -- - - - - - - -- - - ---- - 7 2. 正文一级标题(宋体4号字,加粗)-- --- - - - - - - - - - - -- -- - -20 2.1正文二级标题(宋体小4号字)- - - - -- - - - -- - - - - ---- - -- - - - -20 2.2 正文二级标题(宋体小4号字)- - - - - - -- - - - - - - - - - - - - - - - 23 …… 结论(宋体4号字,加粗)-- - -- - - - - - - - - - - - - - - - - -- - - - --- -- - 50 注释(宋体4号字,加粗,采用尾注者设此节,采用脚注者不设此节)-- -- - - --- -- - 51 参考文献(宋体4号字,加粗)- - - - - - - - - -- - - - - - - - - - - - - - - - - 52

基于数字锁相环的同步倍频器设计

一、主要内容与要求 1.掌握应用电子设计自动化(EDA)技术设计电子系统的方法; 2.采用超高速集成电路硬件描述语言(Verilog)设计一种基于数字锁相环的倍频器; 3.重点设计数字环路滤波器和数控振荡器; 4.利用计算机仿真技术进行验证; 5.阅读并翻译3000单词以上的英文资料。 二、主要技术要求 n倍频;2 1.系统能够实现输出信号为输入信号的2.改变系统参数可以得到不同的倍频信号,且始终能够使输出信号与输入信号保持同步; 3.用Verilog语言编写设计程序,利用计算机仿真予以验证。 三、研究方法 1.在查阅大量技术资料的基础上,进行设计方案的比较; 2.确定全数字锁相环系统的设计方案; 3.采用自顶向下的设计方法,进行系统模块的划分,并确定用Verilog设计各功能模块的算法; 4.编写系统设计程序,并进行仿真验证,经过反复修改使电路系统达到设计要求。 四、工作进度安排 1.2012年12月学习掌握Verilog设计技术,收集和整理与毕业设计有关的资料; 2.2013年1月在分析和整理资料的基础上写开题报告,确定设计方案和研究技术路线; 3.2013年3月完成环路滤波器和数控振荡器的设计与仿真; 4.2013年4月完成全数字倍频器的系统设计与仿真; 5.2013年5月撰写毕业设计说明书和准备毕业答辩稿; 6.2013年6月初毕业答辩。 指导教师 南华大学本科生毕业设计(论文)开题报告

设计(论文)题目基于数字锁相环的同步倍频器设计 省部级课题设计(论文)题目来源起止时工程设计2012.12013.6 设计(论文)题目类 一、设计(论文)依据及研究意义 锁相(phase-locked loop是一种反馈控制电路,作用是实现设备外部输入信号与内部的震荡信号同步其基本组成包括鉴相 phasedetector环路滤波器loopfilter)和压控振荡器 voltagecontroloscillato) 倍频器frequencymultiplie)是使输出信号频率等于输入信号频率整倍的电路利用非线性电路产生高次谐波或者利用频率控制回路都可以构成倍器倍频器也可由一个压控振荡器和控制环路构成它的控制电路产生一控制压,使压控振荡器的振荡频率严格地锁定在输入频f的倍乘fnf 因为非线性变换过程中产生的大量谐波使输出信号相位不稳定所以其构的倍频器,倍频噪声较大。倍频次数越高,倍频噪声就越大,使倍频器的应用到限制在要求倍频噪声较小的设备中可采用根据锁相环原理构成的锁相环步倍频器 模拟锁相环主要由相位参考提取电路压控振荡器相位比较器控制电等组成压控振荡器输出的是与需要频率很接近的等幅信号把它和由相位参提取电路从信号中提取的参考信号同时送入相位比较器用比较形成的误差通控制电路使压控振荡器的频率向减小误差绝对值的方向连续变化实现锁相而达到同步 数字锁相环主要由相位参考提取电路、晶体振荡器、分频器、相位比较器脉冲补抹门等组成分频器输出的信号频率与所需频率十分接近把它和从信中提取的相位参考信号同时送入相位比较器比较结果示出本地频率高了时就过补抹门抹掉一个输入分频器的脉冲相当于本地振荡频率降低相反若示本地频率低了时就在分频器输入端的两个输入脉冲间插入一个脉冲相当于本振荡频率上升,从而达到同步。.

数字锁相环MATLAB代码

奈奎斯特型全数字锁相环(NR-DPLL) 注:本文截取于通信原理课程综合设计,载波提取部分中的锁相环解调部分中的基础锁相环。MATLAB编程仿真实现,想要simulink实现的同学要失望啦。代码在本文末,抱歉未加注释。理解本文需要的知识:信号与系统,数字信号处理,同步技术。

2.7载波的同步提取 提取载波信息可用锁相环进行跟踪载波或调制信息。本文采用奈奎斯特型全数字锁相环(NR-DPLL )对接收信号进行载波同步提取,并用于相干解调。 2.7.1 NR-DPLL 结构介绍 数字锁相环的基本组成如下 图2-6 数字锁相环的组成 NR-DPLL 是基于奈奎斯特采样鉴相器、数字环路滤波器、数字控制振荡器的一种数字锁相环。下面分别对各部分作简要介绍。 2.7.2 奈奎斯特采样鉴相器 奈奎斯特采样鉴相器的组成框图如图2-7所示。 图2-7 奈奎斯特采样鉴相器的组成框图 为了表述方便,设数字控制振荡器(NCO )输出的本振数字信号为 0002()cos(())k k k u t U t t ωθ=+ (2.7-1) 输入信号 101()sin(())i u t U t t ωθ=+ (2.7-2)

其中 100()(),i i o t t t θωθωωω=?+?=- 输入信号经A/D 采样后,第k 个采样时刻采样量化后的数字信号为 01()sin(())i k i k k u t U t t ωθ=+ (2.7-3) 对输入信号进行A/D 变换的采样速率由带通信号奈奎斯特采样定理确定,但为防止信号频谱混叠并保证信号相位信息的有效抽取,采样速率一般选取前置带通滤波器的两倍带宽以上。 令()(),()()i k i o k o u t u k u t u k ==,即()i u k 和()o u k 相乘后,经低通滤波得到的数字误差信号 ()sin ()d d e u k U k θ= (2.7-4) 式中 12()()()e k k k θθθ=- (2.7-5) 2.7.3 数字环路滤波器 数字环路滤波器与模拟环路中环路滤波器的作用是一样的,都是为了抑制高频分量及噪声,且滤波器的参数直接影响环路的性能。在实际应用中一阶数字环路滤波器的实现形式如图2-8所示。 图2-8 一阶数字环路滤波器的实现形式 其Z 域传递函数: 2 11 ()z ()1c d u k G F G u k z -=+-()= (2.7-6) 按照图2-8中所实现的数字滤波器,其频率特性与理想积分滤波器的频率特性一致;两种滤波器参数之间也有着一定的对应关系。 对理想积分滤波器的传递

基于FPGA的数字锁相环的设计

目录 第一章绪论..................................... 错误!未定义书签。 1.1锁相环技术的发展及研究现状................................................ 错误!未定义书签。 1.2课题研究意义 ........................................................................... 错误!未定义书签。 1.3本课题的设计内容.................................................................... 错误!未定义书签。第二章 FPGA的设计基础............................ 错误!未定义书签。 2.1硬件设计语言-Verilog HDL.................................................. 错误!未定义书签。 2.2 FPGA的设计流程 ...................................................................... 错误!未定义书签。第三章锁相环的原理. (2) 3.1全数字锁相环基本结构 (3) 3.2全数字锁相环的工作原理 (4) 第四章数字锁相环的设计 (5) 4.1基于FPGA的数字锁相环总体设计方案 (5) 4.2数字鉴相器的设计 (6) 4.3 K变模可逆计数器的设计 (7) 4.4脉冲加减器的设计 (10) 4.5 N分频器的设计 (12) 第五章实验仿真与调试 (14) 5.1数字锁相环的仿真 (14) 5.2数字锁相环的系统实验 (15) 结束语 (19) 参考文献 (20) 附录 (21)

全数字锁相环毕业设计终稿

大学 本科毕业论文(设计、创作) 题目:全数字锁相环的研究与设计 学生:义强学号:P3******* 院(系):电子信息工程学院专业:微电子 入学时间:2011 年9 月 导师:吴秀龙职称/学位:教授/博士 导师所在单位:大学电子信息工程学院 完成时间:2015 年 5 月

全数字锁相环的研究与设计 摘要 锁相环路的设计和应用是当今反馈控制技术领域关注的热点,它的结构五花八门,但捕获时间短,抗干扰能力强一直是衡量锁相环性能好坏的一个标准。本文是在阅读了大量国外关于全数字锁相环的技术文献的基础上,总结了锁相环的发展现状与技术水平,深入分析了全数字锁相环的基本结构与基本原理,利用VHDL语言,采用自上而下的设计方法,设计了一款全数字锁相环.本文主要描述了一种设计一阶全数字锁相环的方法,首先分析了课题研究的意义、锁相环的发展历程研究现状,然后描述了全数字锁相环的各个组成部件,并且详细分析了锁相环鉴相器、变模可逆计数器、加减脉冲电路、除H计数器和除N计数器各个模块的工作原理。接着我们使用了VHDL语句来完成了鉴相器、数字滤波器和数字振荡器的设计,并且分别使用仿真工具MAX+plus II逐个验证各个模块的功能。最后,将各个模块整合起来,建立了一个一阶全数字锁相环的电路,利用仿真工具MAX+plus II 验证了它的功能的能否实现,仿真结果与理论分析基本符合。 关键词:全数字锁相环;数字滤波器;数字振荡器;锁定时间

Design and research of ALL Digital Phase-Locked Loop Abstract The design and application of phase-locked loop is the focus of attention in the field of feedback control technology today, phase- locked loop has played a very important and unique role in variety of applications. such as the radar, measurement,communications, etc. All-digital phase-locked loop has its unique advantages. Its structure is varied, but short capture time, small synchronization error, excellent anti-interference ability is the standard measure of performance of a phase-locked loop. On the basis of reading a lot of DPLL technology literature of domestic and abroad, this article summed up the present situation and the development level of phase-locked loop technology, analysis the basic structure and principle of all-digital phase-locked loop in-depth, designed a quick all-digital phase-locked loop by using VHDL language and top-down design approach. In this brief, we presented a way of designing a first-order ALL Digital Phase-Locked Loop (ADPLL) first analyzes the significance of research, the development course of phase-locked loop current research status, and then describes the component parts of all digital phase-locked loop, and detailed analysis of the phase lock loop phase discriminator, reversible counter change mould, add and subtract pulse circuit, in addition to H counter and divide N working principle of each module. Then we use the VHDL statements to complete the phase discriminator, digital filter and the design of the digital oscillator, and using the simulation tool of MAX + plus II one by one to verify the function of each module. Finally, the various modules together, established a first-order digital phase-locked loop circuit, using the simulation tool of MAX + plus II verify the realization of its function, the simulation results and principle Keywords: All Digital Phase-Locked Loop; Digital filter; Digital oscillator, Locking time

通信原理数字锁相环实验

通信原理实验报告三数字锁相环实验

实验3数字锁相环实验 一、实验原理和电路说明 在电信网中,同步是一个十分重要的概念。同步的种类很多,有时钟同步、比特同步等等,其最终目的使本地终端时钟源锁定在另一个参考时钟源上,如果所有的终端均采用这种方式,则所有终端将以统一步调进行工作。 同步的技术基础是锁相,因而锁相技术是通信中最重要的技术之一。锁相环分为模拟锁相环与数字锁相环,本实验将对数字锁相环进行实验。 图2.2.1 数字锁相环的结构 数字锁相环的结构如图所示,其主要由四大部分组成:参考时钟、多模分频器(一般为三种模式:超前分频、正常分频、滞后分频)、相位比较(双路相位比较)、高倍时钟振荡器(一般为参考时钟的整数倍,此倍数大于20)等。数字锁相环均在FPGA内部实现,其工作过程如图所示。

T1时刻T2时刻T3时刻T4时刻 图2.2.2 数字锁相环的基本锁相过程与数字锁相环的基本特征 在图,采样器1、2构成一个数字鉴相器,时钟信号E、F对D信号进行采样,如果采样值为01,则数字锁相环不进行调整(÷64);如果采样值为00,则下一个分频系数为(1/63);如果采样值为11,则下一分频系数为(÷65)。数字锁相环调整的最终结果使本地分频时钟锁在输入的信道时钟上。 在图中也给出了数字锁相环的基本锁相过程与数字锁相环的基本特征。在锁相环开始工作之前的T1时该,图中D点的时钟与输入参考时钟C没有确定的相关系,鉴相输出为00,则下一时刻分频器为÷63模式,这样使D点信号前沿提前。在T2时刻,鉴相输出为01,则下一时刻分频器为÷64模式。由于振荡器为自由方式,因而在T3时刻,鉴相输出为11,则下一时刻分频器为÷65模式,这样使D点信号前沿滞后。这样,可变分频器不断在三种模式之间进行切换,其最终目的使D点时钟信号的时钟沿在E、F时钟上升沿之间,从而使D 点信号与外部参考信号达到同步。 在该模块中,各测试点定义如下: 1、TPMZ01:本地经数字锁相环之后输出时钟(56KHz) 2、TPMZ02:本地经数字锁相环之后输出时钟(16KHz) 3、TPMZ03:外部输入时钟÷4分频后信号(16KHz) 4、TPMZ04:外部输入时钟÷4分频后延时信号(16KHz) 5、TPMZ05:数字锁相环调整信号

基于Matlab的数字锁相环的仿真设计

基于Matlab的数字锁相环的仿真设计 摘要:锁相环是一个能够跟踪输入信号相位变化的闭环自动跟踪系统。它广泛应用于无线电的各个领域,并且,现在已成为通信、雷达、导航、电子仪器等设备中不可缺少的一部分。然而由于锁相环设计的复杂性,用SPICE对锁相环进行仿真,数据量大,仿真时间长,而且需进行多次仿真以提取设计参数,设计周期长。本文借助于Matlab中Simulink仿真软件的灵活性、直观性,在Simulink 中利用仿真模块搭建了全数字锁相环的仿真模型。先借助模拟锁相环直观形象、易于理解的特点,通过锁相环在频率合成方面的应用,先对模拟锁相环进行了仿真,对锁相环的工作原理进行了形象的说明。在模拟锁相环的基础上,重新利用仿真模块搭建了全数字锁相环的仿真模型,通过仿真达到了设计的目的,验证了此全数字锁相环完全能达到模拟锁相环的各项功能要求。 关键词:锁相环,压控振荡器,锁定,Simulink,频率合成,仿真模块 1引言 1932年法国的H.de Bellescize提出同步捡波的理论,首次公开发表了对锁相环路的描述。到1947年,锁相环路第一次应用于电视接收机的水平和垂直扫描的同步。到70年代,随着集成电路技术的发展,逐渐出现集成的环路部件、通用单片集成锁相环路以及多种专用集成锁相环路,锁相环路逐渐变成了一个成本低、使用简便的多功能组件,为锁相技术在更广泛的领域应用提供了条件。锁相环独特的优良性能使其得到了广泛的应用,其被普遍应用于调制解调、频率合成、电视机彩色副载波提取、FM立体声解码等。随着数字技术的发展,相应出现了各种数字锁相环,它们在数字信号传输的载波同步、位同步、相干解调等方面发挥了重要的作用。而Matlab强大的数据处理和图形显示功能以及简单易学的语言形式使Matlab在工程领域得到了非常广泛的应用,特别是在系统建模与仿真方面,Matlab已成为应用最广泛的动态系统仿真软件。利用MATLAB建模可以快速地对锁相环进行仿真进而缩短开发时间。 1.1选题背景与意义 Matlab是英文MATrix LABoratory(矩阵实验室)的缩写。1980年,时任美国新墨西哥大学计算机系主任的Cleve Moler教授在给学生讲授线性代数课程时,为使学生从繁重的数值计算中解放出来,用FORTRAN语言为学生编写了方便使用Linpack和Eispack的接口程序并命名为MATLAB,这便是MATLAB的雏形。经过几年的校际流

相关主题