搜档网
当前位置:搜档网 › 完成的数电论文

完成的数电论文

完成的数电论文
完成的数电论文

目录:

绪论 (1)

第一章数字抢答器的总体设计 (2)

1.1原理图的确定 (2)

1.2声光控灯的工作原理 (3)

1.3声光控灯实现功能 (3)

第二章硬件电路详细设计 (4)

2.1硬件的选择与电路设计 (4)

2.1芯片的选择 (4)

第三章面包板连线及硬件调试 (7)

3.1电路面规划设计 (7)

3.2组装后调试 (7)

第四章课程设计体会与不足 (8)

绪论

转眼大三上学期即将结束,一直以来都在不停的问自己3年来到底学到了什么,我也一直很迷惘,很为自己毕业后着急。站在人来人往的校园里完全没有一个立足点去审视自己的能力,一直在等待着能自己实践的机会。老师给我们专业安排了一次综合课程设计,自己设计产品。这样的一个安排我很是高兴,听到这消息我就暗暗的告诉自己一定好好努力做这次设计,结果并不是最重要的,就象我们老师说的:能实现固然是最好,不能成功,只要每位同学认真的走好每个过程都是非常有好处的。声光控制灯由主体电路由声音控制电路、光控制电路和单稳态触发器、放大器、比较器组成。

第一章数字抢答器的总体设计

1.1原理图的确定

在设计开始,笔者查了不少关于声光控灯的资料,其大体分为2种,一种是纯硬件实现,另一种是单片机实现。由于要求用纯硬件实现,于是设计的原理图是纯硬件实现。

图1.1

这是由单稳态触发器74HC123和由NE555振荡器和LM358运算放大器和比较器构成的驱动数码管显示组成的纯硬件声光控灯,能实现实用的日常照明功能。为便于观察研究,决定光控部分在358的输入端用电位器RV4来控制灯的亮灭时间来实现,声控部分用按钮模拟Speaker如下图1.2

图1.2

1.2声光控灯的工作原理

白天,亮度大于一定程度时,光敏电阻呈现底阻状态≤1KΩ,使358“+”端小于基准电阻“-”端,此时电源通过R T向C T充电,暂稳态开始。流过灯的电流≤3mA,灯LED不能发光。夜晚,亮度小于一定程度时,光敏电阻呈现高阻状态≥100KΩ,使灯发光

1.3声光控灯实现功能

本系统可实现以下功能:在夜间(黑罩模拟)有声音信号时照明灯(用LED 发光二极管模拟)点亮;无灯时延迟5s后熄灭。如有声音间隔小雨5s,用LED 持续点亮,白天有声无声均不点亮。

第二章硬件电路详细设计

2.1硬件的选择与电路设计

2.1芯片的选择

电路选用优先单稳态触发器74HC123运放LM358和振荡器NE555来完成。

○174HC123引脚图如下2.1

图如下2.1

74HC123是单稳态触发器。其RxCx(7,15)和Cx端(6,14)接定时的电阻和电容,即决定触发后Q端产生的单脉冲宽度。Rbar(3,11)是低电平复零,不作复零时为高电平。Abar(1,9)是下降沿触发输入端,通过Abar 用负脉冲触发,不用时保持高电平.B(2,10)是上升沿触发输入端,通过B 用正脉冲触发,不用时置低.Q(5,13)与Qbar(4,12)分别输出正负定时单脉冲。

器件中单稳触发器作用是不管触发信号持续多长时间,只固定维持外围阻容给定的一段时间就恢复触发前状态,外围电阻电容决定单稳时间,因为触发是由边缘触发,上升或下降沿。可再触发单稳不同之处是前次触发后的单稳没有恢复触发前状态而又有触发信号时,可再触发单稳将在触发边缘开始继续维持阻容给定的单稳时间,而单稳是不理会在翻转后的触发信号的。此芯片也可做多谐振荡器用。

74HC123单稳态触发器。它有两种输入,A为低电平有效,B为高电平有效。有两种输出,正好相反。用外接的电阻电容作定时元件,时间自己定,比74LS电路易用。单稳态触发器74HC123及外围电路来实现该功能。74HC123

为双可重复触发的单稳态,其输出脉冲的宽度主要取决于定时电阻R与定时电容C,脉宽的计算为电容值与电阻值的乘积即:WP=R′C,在实际设计中R=5kW,C=80pF,输出脉宽为400ns、幅度约5V。脉冲快沿放大与射极跟随输出电路,主要作用是对整形与展宽后的触发脉冲进行加速和放大,以便得到有较高幅度和较快上升沿的脉冲信号去触发场效应管2SC3306。

○2NE555引脚图如下2.2,内部原理图如图2.3

图如下2.2

图2.3

555定时器由3个阻值为5kΩ的电阻组成的分压器、两个电压比较器C1和C2、基本RS触发器、放电三极管TD和缓冲反相器G4组成。虚线边沿标注的数字为管脚号。其中,1脚为接地端;2脚为低电平触发端,由此输入低电平触发脉冲;6脚为高电平触发端,由此输入高电平触发脉冲;4脚为复位端,输入负脉冲(或使其电压低于0.7V)可使555定时器直接复位;5脚为电压控

制端,在此端外加电压可以改变比较器的参考电压,不用时,经0.01uF的电容接地,以防止引入干扰;7脚为放电端,555定时器输出低电平时,放电晶体管TD导通,外接电容元件通过TD放电;3脚为输出端,输出高电压约低于电源电压1V—3V,输出电流可达200mA,因此可直接驱动继电器、发光二极管、指示灯等;8脚为电源端,可在5V—18V范围内使用。

555定时器工作时过程分析如下:

5脚经0.01uF电容接地,比较器C1和C2的比较电压为:UR1=2/3VCC、UR2=1/3VCC。

○3LM358引脚图2.4,原理图2.5如下

图2.4

图2.5

LM358内部包括有两个独立的、高增益、内部频率补偿的双运算放大器,适合于电源电压范围很宽的单电源使用,也适用于双电源工作模式,在推荐的工作条件下,电源电流与电源电压无关。它的使用范围包括传感放大器、直流增益模块和其他所有可用单电源供电的使用运算放大器的场合。

2.2仿真试验结果及结论

通过Proteus进行仿真,如仿真结果所示,按下开始后,当有选手按下按扭时,显示正常。可以接线。通过仿真结果证实,该方案可行。本设计实现简单,成本较低很适合我们大学生动手实践。

第三章面包板连线及硬件调试

3.1电路面规划设计

所有准备就绪就开始制做连线了,由于PROTEUS软件中元件有很多,所有元件都要规划好自己的位置,有一个大改的规划,连线要平整,接触要良好。

3.2组装后调试

接好后的调试就是最激动人心的时候,插上电源,发现LED不亮,心里一凉,但当按下电源开关键时LED就亮了,并且所有功能都能正常运行,心里很是高兴。高兴完后开始调试,但有的地方不好使,但有的经观察应该是虚焊造成的,我开始一根线一根线的查,原来是IC管教与电路板接触不良,我差了一个二极管的管脚,结果正常了。至此全都正常了。总之这次设计还是比较成功的。而且我们组是第一个完成任务的。

第四章课程设计体会与不足

将近1周的课程设计很快结束了,其中酸甜苦辣都尝到了,遇到问题及时通过网络查找资料,很有收获,尤其是最后看到自己设计的产品成功运行后那种感觉无法用语言来描叙,感觉所有的付出都得到了回报,是那么的理所当然又是那么的出人意料。

这样的课程设计真的很有意义,成长了很多,让我找回了往日奋斗的激情,也许这样的机会在大学不会再有了,我会深深的记住这次,记住这次饱含我汗水的综合课程设计。这次也发现了自身很多的不足,以后还得多看看教材,牢记一些基本的电路。最后在这里忠心的感谢老师安排了这次综合课程设计,感谢各个指导老师的辛勤付出。

致谢

经历一学期的努力期末阶段眼看尘埃落定,感觉忍不住要长出一口气。结果怎样已然不再重要,在这个阶段里,我经历了阶段性成功的狂喜、测试失败后的绝望、陷入困境时的不知所措,重新投入的振作。这样的工作是无法孤军作战的,只有通力合作才有可能成功。4位成员在数日里的朝夕相伴中培养出了无与伦比的默契和深厚的友谊。经过我们不断的搜索努力以及指导老师的耐心指导和热情帮助,本设计已经基本完成。在这段时间里,实验室老师严谨的治学态度和热忱的工作作风令我十分钦佩,他们的指导使我们受益非浅。同时实验室的开放也为我的设计提供了实习场地。在此对指导老师和同组的同学表示深深的感谢,感谢你们的帮助。

通过这次课程设计,深刻地认识到学好专业知识的重要性,也理解了理论联系实际的含义,并且检验了大学几年的学习成果。虽然在这次设计中对于知识的运用和衔接还不够熟练。但是我将在以后的工作和学习中继续努力、不断完善。这将近1个星期的设计是对过去所学知识的系统提高和扩充的过程,为今后的发展打下了良好的基础。由于自身水平有限,设计中一定存在很多不足之处,敬请各位老师批评指正。

许航

2011.1.5

附录

参考文献

[1]张迎新.单片机初级教程.北京:航空航天大学出版社,2007.

[2]周润景张丽娜.基与PROTEUS的电路及单片机仿真.北京:航空航天大学出版社,2007.

[3]张万奎.模拟电子技术.湖南:湖南大学出版社,2005.

[4]康华光.电子技术基础.数字部分(第四版).高等教育出版社2003年3月

[5]李海.74系列芯片手册.重庆大学出版社1999年9月

数电课程设计心得

数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.回顾起此次课程设计,至今我仍感慨颇多,的确,从选题到定稿,从理论到实践,在短短的两个星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次数电课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做数电课程设计,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 这次数电课程设计终于顺利完成了,在设计中遇到了很多问题,最后在王老师的辛勤指导下,终于游逆而解。同时,在王老师的身上我学得到很多实用的知识。总体来说,这次实习我受益匪浅.在摸索该如何设计程序使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力.在让我体会到了设计的艰辛的同时,更让我体会到成功的喜悦和快乐. 这次数电课程设计,虽然短暂但是让我得到多方面的提高:1、提高了我们的逻辑思维能力,使我们在逻辑电路的分析与设计上有了很大的进步。加深了我们对组合逻辑电路与时序逻辑电路的认识,进一步增进了对一些常见逻辑器件的了解。另外,我们还更加充分的认识到,数字电路这门课程在科学发展中的至关重要性2,查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到,但由于时间和资料有限我们更多的还是独立思考。3,相互讨论共同研究也是很重要的,经常出现一些问题,比如电路设计中的分频器的设计,开始并不理解分频器的原理,但是和其他的专业同学讨论后,理解了分频器的基本原理后,很快的设计了电路原理图。

数电课程设计

数电课程设计

目录 一、课程设计的目的与作用...................................................... 错误!未定义书签。 二、设计任务 (2) 2.1、加法计数器 (2) 2.2、串行序列发生器 (2) 2.3、四十进制加法计数器 (2) 三、设计过程 (2) 3.1、加法计数器 (2) 3.1.1、状态转换图 (2) 3.1.2、次态卡诺图: (3) 3.1.3、Q2n+1的卡诺图 (3) 3.1.4、Q1n+1的卡诺图 (3) 3.1.5、Q0n+1的卡诺图 (4) 3.2、串行序列发生器 (4) 3.2.1、Y的卡诺图 (5) 3.2.2、Q2n+1的卡诺图 (5) 3.2.3、Q1n+1的卡诺图 (5) 3.2.4、Q0n+1的卡诺图 (6) 3.3、四十进制加法计数器 (6) 3.3.1、设计过程 (6) 四、仿真结果分析 (7) 4.1、六进制加法器仿真结果分析 (7) 4.2串行序列仿真分析 (8) 4.3、13进制计数器仿真分析 (9) 五、设计体会 (9) 六、参考文献 (10)

一、课程设计的目的与作用 数字电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养工程人员的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括课题的分析、电路设计、仿真、调试以及总结报告等实践内容。 通过课程设计主要实现以下目标:1、掌握电子电路分析和设计的基本方法。包括:根据设计任务和指标初选电路;调查研究和设计计算确定电路方案;选择元件、设计电路、仿真改进;分析实验结果、写出设计总结报告。 2、培养一定的自学能力、独立分析问题的能力和解决问题的能力。包括:学会自己分析解决问题的方;对设计中遇到的问题,能通过独立思考、查询工具书和参考文献来寻找解决方案,掌握电路测试的一般规律;能通过观察、判断、实验、再判断的基本方法解决实验中出现的一般故障;能对实验结果独立地进行分析,进而做出恰当的评价。 3、掌握普通电子电路的设计、仿真及接线等基本技能。 4、巩固常用电子仪器的正确使用方法,掌握常用电子器件的测试技能。 5、通过严格的科学训练和设计实践,逐步树立严肃认真、一丝不苟、实事求是的科学作风,并逐步建立正确的生产观、经济观和全局观。

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数电课设报告1

通过20进制计数器的输出端的E、D信号控制移位寄存器的S0和S1及其CLR'端真值表

七、附录 555的内部结构 555定时器电路是一块介于模与数字电路的一种混合电路,由于这种特殊的地位,故5 55定时电路在报警电路、控制电路得到了广泛的应用。下图为555的内部电路,从图上可以看出,其仅有两个比较器、一个触发器、一个倒相器、放电管和几个电阻构成,由于比较器电路是一个模拟器,而触发器电路为数字电路,故其为混合器件。 555为一8脚封装的器件,其各引脚的名称和作用如下: 1脚—GND,接地脚 2脚—TL,低电平触发端 3脚—Q,电路的输出端 4脚—/R D,复位端,低电平有效 5脚—V_C,电压控制端 6脚—TH,阈值输入端 7脚—DIS,放电端 8脚—V CC,电源电压端,其电压范围为:3~18V 555的功能描述

上图中当V_C不外接电压时,三个电阻对电源电压进行分压,每个电阻上的压降为1/3 V CC,则两个比较器的同相端的输出电压分别为:1/3CC,2/3V CC。从图上可以看出,其555的工作可分为下列3种情况加以讨论: 1.当触发输入端TL输入电压低于1/3V CC而阈值输入端电压大于2/3V CC时,其下面比较器输出为高电平,触发器输出高电平; 2.当触发输入端TL输入电压高于1/3V CC,而阈值输入端电压小于2/3V CC时,其两个比较器输出皆为低电平,触发器输出保持不变; 3.当触发输入端TL输入电压高于1/3V CC而阈值输入端电压大于2/3V CC时,其上面比较器输出为高电平,触发器输出低电平。 当然你在上面讨论时可同时对放电管进行讨论其状态,这里没有讨论,详情可能见有关资料,从上面的讨论,可列出下列表格: 输入输出 TH TL/RD Q放电管状态 ××00导通>2/3V CC>1/3V CC10导通 <2/3V CC>1/3V CC1保持不变保持不变 >2/3V CC>1/3V CC10导通 <2/3V CC<1/3V CC11截止 一、芯片名称:同步可预置带清零二进制计数器 二、74LS163芯片的引脚图和引脚说明:

数电课程设计心得体会_1

数电课程设计心得体会 课程设计刚开始,拿着选定的题目不知如何入手。毕竟课程设计不同于实验课,电路图和程序都要自己设计。静下心来,仔细分析题目,再加上指导老师的说明与提示和同组成员的帮助,心中才有了谱。将整个系统根据不同的功能化分成模块,再分别进行设计,逐个攻破,最后再将其整合即可。 用vhdl进行设计,首先应该理解,vhdl语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用vhdl“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。通过使用eda编程既方便有快捷的实现了程序本次设计的程序已经在硬件系统上得到了验证,实验表明,此设计方法能够满足多种不同花样彩灯的变化要求,并且该方法便于扩展不同变化模式的彩灯花样。但是试验中也出现了一些不熟练的操作问题和一些复杂程序的不能完全理解都需要我在平时多学习,进一步的完善自己。在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人上上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不

同的思路,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它不但能巩固我们已所学的电子技术的理论知识,而且能提高我们的电子电路的设计水平,还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识几创新思维。 整个课程设计过程我都认真地完成了,对此,我总结了以下几点: 第一,两人一组,既加强了我们的动手能力,又让我们学会了团结一致,共同合作才能研究出最好的方案。我们将理论联系实际,在交流中取得进步,从问题中提高自己。 第二,本次课程设计加深了我对eda技术的进一步深入理解。熟悉了vhdl程序编写和原理图输入法的优缺点,为我以后更好地运用max+plusii奠定了良好的基础。 第三,通过这次课程设计,使我受益颇多。了解到课程实习设计是开端,连接是关键,测试是必须。既巩固了课堂上学到的理论知识,又掌握了常用集成电路芯片的使用。在此基础上学习了数字系统设计的基本思想和方法,学会了科学地分析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,独立解决问题。同时,也培养了我认真严谨

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

数电实验-实验报告-实验六

实验一 TTL与非门的参数测试 一、实验目的 ·掌握用基本逻辑门电路进行组合逻辑电路的设计方法。 ·通过实验,验证设计的正确性。 二、实验原理 1.组合逻辑电路的分析: 所谓组合逻辑电路分析,即通过分析电路,说明电路的逻辑。 通常采用的分析方法是从电路的输入到输出,根据逻辑符号的功能逐级列出逻辑函数表达式,最好得到表示输出与输入之间的关系的逻辑函数式。然后利用卡诺图或公式化简法将得到的函数化简或变换,是逻辑关系简单明了。为了使电路的逻辑功能更加直观,有时还可以把逻辑函数式转化为真值表的形式。 2.逻辑组合电路的设计: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单电路,陈伟组合逻辑电路的设计。 3.SSI设计:设计步骤如下: ①逻辑抽象;分析时间的因果关系,确定输入和输出变量。 ②定义逻辑状态的含义:以二值逻辑0、1表示两种状态。 ③列出真值表 ④写出逻辑表达式,并进行化简,根据选定器件进行转换。 ⑤画出逻辑电路的连接图。 ⑥实验仿真,结果验证。 三、实验仪器及器件 数字万用表1台

多功能电路实验箱1台 四、实验内容 1.设计5421BCD 码转换为8421BCD 码(用双输入端与非门实现)。 四位自然二进制码 5421BCD码 B3 B2 B1 B0 D3 D2 D1 D0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 1 1 1 0 1 0 伪码 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 根据5421BCD 码与8421BCD 码真值表可得 2.设A 、B 、C 、D 代表四位二进制变量,函数X=8A-4B+2C+D ,试设计一个组合逻辑电路,判断当函数值介于4

大连理工大学数字电路课程设计报告:多功能数字时钟设计

大连理工大学本科实验报告题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计 学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生姓名: 学号: 完成日期:2014年7月16日 2014 年7 月16 日

题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒内未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时范围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒内未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)设定倒计时开始,当按下按键一秒内未松手时,可进行快速设定时间。当没有手动时间设定时,系统默认为60秒倒计时。倒计时的时钟与数字钟的时钟相同,每迎到一个1s时钟上升

数电课程设计报告

《电子技术》课程设计报告 题目数字式电阻测试仪 学院(部) 专业 班级 学生姓名 学号 12 月19 日至12 月30 日共2 周 指导教师(签字)

数字式电阻测试仪 摘要 数字化测量仪器较模拟仪器具有使用方便,测量精确等优点。本次课程设是针对数字式电阻测试仪的设计,介绍了数字式电阻测试仪的设计方案及其基 本原理,并着重介绍了数字式电阻测试仪各单元电路的设计思路,原理及整体 电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是系统 概述,本部分概括讲解了电路的设计思想和各部分功能;二是各单元所用器件、 其性能和在电路中的功能。三是设计小结,这部分包括设计的完成情况,并提 出本系统需要改进的地方及遇到的困难。 关键词555 多谐振荡器单稳态触发器 74LS160N 74175N 技术要求 1. 被测电阻值范围100Ω~100kΩ; 2. 四位数码管显示被测电阻值; 3. 分别用红、绿色发光二极管表示单位; 4. 具有测量刻度校准功能。 第一部分系统综述 一、设计思路 数字式电阻测试仪的基本工作原理是将待测的数字信号转化为模拟信号,在通过计数、译码,由数码管直接将阻值显示出来。本设计是通过555芯片与74LS160芯片共同协作来完成的。接通电源后多谐振荡器开始工作,此时给555单稳态触发器一个负脉冲,使其工作,产生的脉冲宽度为Tw,两输出端相与后接74LS160计数器,记录的就是Tw宽度内多谐产生的高电平个数。因待测电阻R与单稳态的脉冲宽度Tw呈线性关系,给定参数后,高电平数即为待测电阻值。最后通过译码显示,显示出最终的结果。 二、方案论证与选择 为了用数字的办法测量电阻,首先需要将被测电阻值以某种方式输入AD转换器。根据测量原理的不同,其输入方法有很多,如直接法、电桥法和充放电法。各种办法都有相应的优缺点,例如充放电法及直接法均需求得被测样两端的电压与通过被测样的电流,利用

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

数字电路课程设计总结报告

数字电路课程设计总结报告题目:交通灯控制器 班级:08通信工程1班 学号:0810618125 姓名:廖小梅 指导老师:张红燕 日期:2010年12月

目录 1、设计背景 2、设计任务书 3、设计框图及总体描述 4、各单元设计电路设计方案与原理说明 5、测试过程及结果分析 6、设计、安装、调试中的体会 7、对本次课程设计的意见及建议 8、附录 9、参考文献 10、成绩评定表格

一、设计背景 随着经济的快速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,极其容易发生交通问题,为了保证交通秩序和人们的安全,一般在每条街上都有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。 二、设计任务书 1、设计一个十字路口的交通灯控制电路,要求南北方向(即 A车道)和东西方向(即B车道)两条交叉道路上的车辆 交替运行,每次通行时间都为30秒; 2、在绿灯转红灯时,先由绿灯转为黄灯,黄灯亮6秒后,再 由黄灯转为红灯,此时另一方向才由红灯转为绿灯,车辆 才开始通行。 三、设计框图及总体描述 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1交通灯控制系统原理框图 在图中, T30: 表示甲车道或乙车道绿灯亮的时间间隔为30秒,即车辆正常通行的时间间隔。定时时间到,T30 =1,否则,T30 =0。 T6:表示黄灯亮的时间间隔为6秒。定时时间到,T6=1,否则,T6=0。 S T:表示定时器到了规定的时间后,由控制器发出状态转换信号。 由它控制定时器开始下个工作状态的定时。 交通系统的车道信号灯的工作状态转换如下所述: 状态1:A车道绿灯亮,B车道红灯亮。表示A车道上的车辆允许通行,B车道禁止通行。绿灯亮满规定的时间隔T30时, 控制器发出状态信号S T,转到下一工作状态。 状态2:A车道黄灯亮,B车道红灯亮。表示A车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,B车 道禁止通行。黄灯亮足规定时间间隔TY时,控制器发 出状态转换信号S T,转到下一工作状态。 状态3:A车道红灯亮,B车道黄灯亮。表示A A车道禁止通行,B车道上的车辆允许通行绿灯亮满规定的时间间隔T30 时,控制器发出状态转换信号S T,转到下一工作状态。

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

数电课程设计报告

数电课程设计报告 电子技术课程设计 题目名称: 音乐彩灯控制器 1.设计任务和要求 (1) 任务设计一种组合式彩灯控制电路,该电路由三路不同控制方法的彩灯所组成,采用不同颜色的发光二极管作设计实验。 (2) 要求① 第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 ② 第二路按音量的强弱(信号幅度大小)控制彩灯。强音时,灯的亮度加大,且灯被点亮的数目增多。 ③ 第三路按音调高低(信号频率高低)控制彩灯。低音时,某一部分灯点亮;高音时,另一部分灯点亮。 摘要随着现代社会经济的飞速发展和夜市的兴起,各种彩灯装饰层出不穷,给城市的夜间带来绚丽的色彩。夜间漫步于城市的每一个角落,触目可及变幻莫测、摇曳生姿的各式彩灯,无一不诱惑着人们好奇的双眼。门面店铺灯光装潢大都采用时明时暗或部分循环点亮的流水模式,有新意的要属那些旋转上升变化的广告装饰灯。在公园里有树状的彩灯,它从底部开始亮起,然后快速沿枝干向上窜升,到达顶端后向各处散开,远远望去犹

如仙女散花,煞是好看。有音乐的娱乐场所,比如说舞厅,酒吧间和咖啡厅的彩灯会随着悠扬的音乐闪烁生辉,这些场所的灯光一般比较幽暗,更加显得彩灯扑朔迷离、捉摸不定,一如可望而不可即的魑魅。而气势磅礴、规模宏大的当然是大型的节日彩灯,把许多组彩灯进行不同的组合,便得到花样众多的主题字型或代表喜庆吉祥的图案。这些彩灯不仅增添了节日的气氛,而且丰富了人们多姿多彩的生活。 本文介绍的彩灯控制器是一种组合式彩灯控制电路。声控彩灯是音乐声响与彩灯灯光的相互组合,使音乐的旋律伴以亮度、颜色和图案不断变换的灯光,使人的视觉和听觉结合在一起获得综合的艺术享受。本设计伴随音乐的节奏、大小、音调而变化的彩灯控制器。使彩灯在艺术上有了很大的提高,本文的主要内容有以下几点:设计音乐信号放大电路;设计滤波电路,实现音乐的音调控制彩灯;555基本电路构成单稳态实现音乐大小控制彩灯;555基本电路构成多谐电路实现音乐节奏控制彩灯。 其次本文还详细阐述了音乐彩灯控制器的结构和功能,并对各组成部分的工作原理进行了比较详细的分析,而且对各组成部分的附加元的参数设定也做了较为严密的计算。 关键字:音乐的强弱节奏音调彩灯控制器整流滤波高低通滤波 555基本电路一.方案设计 (1) 设计思路根据课题要求,本控制器可分别用三部分电路实现。

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

数字电子技术课程设计报告

课程设计 课程名称数字电子技术课程设计题目名称四人智力竞赛抢答器学生学院 专业班级 学号 学生姓名 指导教师 200年月日

目录 一、设计题目 (3) 二、设计任务和要求 (3) 1.设计任务 (3) 2.设计要求 (3) 三、原理电路 (3) 1.以锁存器为中心的编码显示电路 (4) 2.脉冲产生电路 (5) 3.倒计时显示电路 (5) 4.音响电路 (6) 5.整体电路 (7) 四、电路调试过程及结果 (7) 五、总结 (8) 六、心得体会 (8) 七、参考文献 (9)

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9” 倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应, 也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的 开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手 编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时, 定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持 续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的 CP信号。 三、原理电路 电路主要由脉冲产生电路、锁存电路、编码及译码显示电路、倒计时电路和音响产生电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7段译码器将数字显示在显示器上同时产生音响。主持人宣布开始抢答时,倒计时电路启动由9计到0,如有选手抢答,倒计时停止。电路系统结构如图13:

数电课程设计__

数电实验报告 系别:电子信息工程 班级: 姓名:

课程设计(论文)题目: 交通灯的设计、制作 一、课程设计(论文)要求及原始数据(资料): 1)每个方向有两对灯,分别为红、绿。 2)每个方向的绿灯、红灯的定时时间可以预设,一个方向绿灯亮时另一个方向红灯亮。定时时间用数码管显示,红绿灯指示用发光二极管。 3)绿灯、红灯顺序点亮,循环往复。 4)控制器要自带时钟,为了时钟精度和得到占空比为50%的标准1 Hz时钟,最后的时钟通过分频得到。时钟脉冲源利用555电路产生。计数器使用CD4511,74168,74390。 二、主要参考文献(资料): [1] 董儒胥,《电工电子实训》,北京:高等教育出版社,2002年 [2] 谢克明,《电子电路EDA》,北京:兵器工业出版社,2001年 [3] 阎石,《数字电子技术基础》北京:高等教育出版社,2006年 [4] 陈明义主编《电子技术课程设计指导》中南大学出版社,2002年

一、设计题目及内容 交通信号灯控制器 (1)、用红、绿、黄三色发光二极管作信号灯。 (2)、南北、东西方向绿、黄、红灯亮时间分别为20秒、4秒、24秒,一次循环为48秒。黄灯是间歇闪耀。设计计时显示电路(减“1”计数) 。 (3)、可以手动调整和自动控制,夜间为黄灯闪耀。 二、器件与器材 1、二输入四与非门74LS00 2、四输入双与非门74LS20 3、六倒相器74LS04 4、八输入与非门74LS30 5、正沿双D触发器74LS74 6、同步十进制可逆加、减计数器(8421 BCD码) 74LS168 7、振荡分频器CD4060 8、BCD七段显示译码器CD 4511 9、555定时器555 10、LED共阴七段数码管BS207 11、微动开关、拨盘开关、继电器、LED(红、绿、蓝)、电阻、电容、二极管、三极管、光敏二、 三极管、导线……等。 12、工具(镊子、剪刀、万用表、电烙铁……) 三、使用仪器设备 1、稳压电源(±5V,±15V); 四、参考文献 1、“模拟电子技术基础”和“数字电子技术基础”教材; 2、有关“电子技术课程设计指导书”; 3、“集成电路特性应用手册”; 2、EDA技术使用教程 3、其他。 五、设计总结报告主要内容 1、任务及要求; 2、方案特点; 3、各组成部分及工作原理(应结合框图写); 4、单元电路设计与调试;

相关主题