搜档网
当前位置:搜档网 › 基于verilog 乒乓球游戏机课程设计报告

基于verilog 乒乓球游戏机课程设计报告

基于verilog 乒乓球游戏机课程设计报告
基于verilog 乒乓球游戏机课程设计报告

长沙学院EDA课程设计说明书

题目乒乓球游戏电路设计

系 (部)

专业(班级)

姓名

学号

指导教师

起止日期

2008电子信息工程专业课程设计任务书

系(部):电子与通信工程系专业:电子信息工程学生姓名王志福指导教师

谢明华、马凌云、刘辉、龙英课题名称乒乓球游戏电路设计

计要求及设计参数

设计一个乒乓球游戏电路,在游戏中,分A方及B 方,以8位LED灯指示乒乓球的行进路径;允许一个LED灯亮,亮的时间为0.5秒,表示乒乓球所在位置,A、B方各持一按键进行接发球操作,当乒乓球移动到最靠近己端,即最靠近己方的数码管发光期间,及时按下了按键,则接发球成功,提前按下或按下不及时则接、发球失败,对方得1分。系统复位时,A方发球;比赛采用得分方发球规则;要求使用数码管显示比赛双方的代号及得分,如A28-B9表示A得分为28分,B得分9分。比赛采用21分制。比赛结束时8位LED灯同时闪烁5秒。

设计工作量两周:1、查找资料,根据要求的格式并结合所查资料写出理论设计方案的全过程,实验操作的步骤、数据以及结论。

2、学习使用Quartus II软件,利用Quartus II设计并进行仿真。

3、将程序下载到实验箱,进行实际运行修正。

进度安排

起止日期工作内容备注6月7日—6月10日

理论设计:网络、图书馆查找资料

软件应用:学习Quartus II软件的操作并完成

电路设计并进行仿真。

6月14日—6月15日将完成的程序下载至实验箱,进行实物运行。

6月16日准备资料,完成课程设计答辩

6月17日整理书面材料,完成课程设计说明书

要参考资料

参考文献

[1] 周润景 图雅 张丽敏 .基于Quartus Ⅱ的FPGA/CPLD 数字系统设计实例.北京:电子工业出版社,2007

[2] 杜建国.V erilog HDL 硬件描述语言.北京:国防工业出版社,2004 [3] 林灶生 .V erilog FPGA 芯片设计.北京:北京航空航天大学出版社,2006

指导教师签名

年 月 日

系(部)主管领导意见

年 月 日

答辩评委老师

年 月 日

教研室 意见

年 月 日

长沙学院课程设计鉴定表

姓名王志福学号2008044132 专业电子班级一班

设计题目乒乓球游戏电路设计指导教师谢明华、马凌云、刘辉、龙英指导教师意见:

评定等级:教师签名:日期:

答辩小组意见:

评定等级:答辩小组长签名:日期:

教研室意见:

教研室主任签名:日期:

系(部)意见:

系主任签名:日期:

说明课程设计成绩分“优秀”、“良好”、“中”、“及格”、“不及格”五类;

目录

摘要:......................................................................................................................................... - 6 - 1.设计要求................................................................................................................................ - 6 - 2.设计方案 ................................................................................................................................... - 6 -

2.1乒乓球游戏机系统组成..................................................................................................... - 6 -

2.2 功能模块设计.................................................................................................................. - 6 -

2.2.1 分频模块 .............................................................................................................. - 6 -

2.2.2 按键消抖模块 ....................................................................................................... - 7 -

2.2.3 比赛规则控制(含发球控制器、乒乓球控制器、计分器)..................................... - 9 -

2.3顶层原理图 ......................................................................................................................- 11 -

2.4 波形仿真........................................................................................................................- 11 -

3.心得体会 ..................................................................................................................................- 12 -

4.参考文献 ..................................................................................................................................- 12 -

乒乓球游戏电路设计

摘要:本设计使用FPGA芯片来模拟实际的乒乓球游戏。本设计是基于Altera 公司的FPGA Cyclone 芯片EP1C20F324C8 的基础上实现,运用V erilog HDL 语言编程,在Quartus II 软件上进行编译、仿真,最终在开发板上成功实现下载和调试。

关键字:乒乓球游戏FPGA V erilog HDL

1.设计要求

1.1一个乒乓球游戏电路,能实现基本的乒乓球比赛规则。

1.2 要求使用数码管显示比赛双方的代号及得分,比赛采用21分制。比赛结束时8位LED灯同时闪烁5秒。

1.3 拓展要求,要求增加局分显示,每一局比赛结束后局分自动增加,比分清零。

2.设计方案

2.1乒乓球游戏机系统组成

乒乓球比赛游戏机的组成如图1 所示。A、B方各持一按键进行接发球操作,当乒乓球移动到最靠近己端,即最靠近己方的数码管发光期间,及时按下了按键,则接发球成功,提前按下或按下不及时则接、发球失败,对方得1分。

图1 基于Fpga乒乓球游戏机组成框图

2.2 功能模块设计

2.2.1 分频模块

将50Mhz频率分成2hz

程序:

//-----------------------------2Hz分频-------------------------

always @(posedge clk50M)

begin

if(cnt==24'd1*******)

begin

clk2hz=~clk2hz;

cnt<=0;

end

else

cnt<=cnt+1;

end

2.2.2 按键消抖模块

程序:

module key_debounce(

input i_clk,

input [3:0] i_key, // 按下为0,松开为1 output reg [3:0] o_key_val // 键值

);

//++++++++++++++++++++++++++++++++++++++

reg [3:0] key_samp1, key_samp1_locked;

// 将i_key采集至key_samp1

always @ (posedge i_clk)

begin

key_samp1 <= i_key;

end

// 将key_samp1锁存至key_samp1_locked

always @ (posedge i_clk)

begin

key_samp1_locked <= key_samp1;

end

//++++++++++++++++++++++++++++++++++++++

wire [3:0] key_changed1;

// 当key_samp1由1变为0时

//--------------------------------------

reg [19:0] cnt;

// 一旦有按键按下,cnt立即被清零

always @ (posedge i_clk)

begin

if(key_changed1)

cnt <= 20'h0;

else

cnt <= cnt + 1'b1;

end

//--------------------------------------

reg [3:0] key_samp2, key_samp2_locked;

// 只有当按键不变化(不抖动),且维持20ms以上时

// 才将i_key采集至key_samp2

always @ (posedge i_clk)

begin

if(cnt == 20'hF_FFFF) // 0xFFFFF/50M = 20.9715ms key_samp2 <= i_key;

end

// 将key_samp2锁存至key_samp2_locked

always @ (posedge i_clk)

begin

key_samp2_locked <= key_samp2;

end

//--------------------------------------

wire [3:0] key_changed2;

// 当key_samp2由1变为0时

//--------------------------------------

// 每次按键稳定后,都将键值取反

always @ (posedge i_clk)

begin

if(key_changed2[0])

o_key_val[0] <= ~o_key_val[0];

if(key_changed2[1])

o_key_val[1] <= ~o_key_val[1];

if(key_changed2[2])

o_key_val[2] <= ~o_key_val[2];

if(key_changed2[3])

o_key_val[3] <= ~o_key_val[3];

end

//--------------------------------------

endmodule

2.2.3 比赛规则控制(含发球控制器、乒乓球控制器、计分器)

程序:

//----------------------比赛规则------------------------------

always @(posedge clk2hz)

begin

if(rst)

begin a_score<=0;b_score<=0;a_jufen<=0;b_jufen<=0;a<=0;b<=0;led_1<=8'b11111111; end else

begin

if(!a&&!b&&led_ctrl[0]) //如果a发球

begin a<=1;led_1<=8'b01111111; end //a的控制位置1

begin b<=1;led_1<=8'b11111110; end //b的控制位置1

else if(a&&!b) //球从A向B移动

begin

if(led_1>8'b00011111) //如果没到球网B击球则A加分

begin

if(led_ctrl[3])

begin

a_score<=a_score+1;

a<=0;b<=0;

led_1<=8'b11111111;

end

else

begin led_1[7:0]<={1'b1,led_1[7:1]}; end //右移

end

else if(led_1==8'b11111111) //如果B一直没有接球则A加分

begin a_score<=a_score+1;a<=0;b<=0; end

else

begin

if(led_ctrl[3]) //如果B击球成功,则B的控制位置1,A的控制位清零 begin a<=0;b<=1; end

else

begin led_1[7:0]<={1'b1,led_1[7:1]};end

end

end

else if(b&&!a) //球从B向A移动

begin

if(led_1>8'b11110000&&led_1!=8'b11111111)

begin

if(led_ctrl[1]) //如果没到球网A击球则B加分

begin

b_score<=b_score+1;

a<=0;b<=0;

led_1<=8'b11111111;

end

else

end

else if(led_1==8'b11111111) //如果A一直没接球则B加分

begin b_score<=b_score+1;a<=0;b<=0; end

else

begin

if(led_ctrl[1]) //如果A击球成功,则A的控制位置1,B的控制位清零

begin a<=1;b<=0; end

else

begin led_1[7:0]<={led_1[6:0],1'b1}; end

end

end

end

led<=led_1;

if(a_score==5'b10101&&b_score<5'b10101) begin a_score<=0;b_score<=0;a_jufen<=a_jufen+1;a<=0;b<=0;led_1<=8'b11111111; end

if(b_score==5'b10101&&a_score<5'b10101) begin a_score<=0;b_score<=0;b_jufen<=b_jufen+1;a<=0;b<=0;led_1<=8'b11111111; end

end

2.3顶层原理图

2.4 波形仿真

2.4.1 A方发球B方不接球

2.4.2 A方发球B提前接球犯规

2.4.3 B方发球A不接球

2.4.4 B方发球A提前接球犯规

2.4.5 AB僵持球

3.心得体会

通过这次课程设计,我进一步熟悉了verilog语言的结构,语言规则和语言类型。对编程软件的界面及操作有了更好的熟悉。在编程过程中,往往出现错误,但经过仔细检查,把错误一个一个的改正过来。通过这次课程设计,培养了自己独立完成设计的能力。但此次设计中参考了其他程序的设计思想,显示出我还不具备独立的程序设计思想。

4.参考文献

[1] 周润景图雅张丽敏.基于Quartus Ⅱ的FPGA/CPLD数字系统设计实例.北京:电子工业出版社,2007

[2] 杜建国.V erilog HDL硬件描述语言.北京:国防工业出版社,2004

[3] 林灶生.V erilog FPGA芯片设计.北京:北京航空航天大学出版社,2006

电子技术乒乓球比赛游戏机课程设计报告书

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的容是独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 1.1.2 课题的容和要求 独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体容如下:乒乓球比赛是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判),乒乓球比赛模拟机是用发光二极管(LED)模拟乒乓球运 乒乓球比赛模拟机框图 设计要求:

1、基本部分 (1) 至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从由到左移动,“球”的移动速度能由时钟电路调节。 (2) 当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。 (3) 设计自动记分电路,甲乙双方各用一位数码管显示得分,每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分,电路自动响铃3秒,此期间发球无效,等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 1.2 方案选择 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计:

数电课程设计报告 乒乓球游戏设计

电子线路综合设计 乒乓球比赛模拟及计分器设计 2014年6月

摘要 在信息社会高速发展的今天,数字电路芯片已经实现高度集成化,并逐步渗透到医学、计算机等各个领域,对人类的生活有着深远的影响。本设计采用基本门电路以及74LS系列芯片的搭建,以multisim 12.0软件为平台进行仿真,实现了对乒乓球游戏的模拟。主要解决的问题有: (1)模拟乒乓球的轨迹:用双向移位4位寄存器74194以及基本门电路实现;(2)球速的调节:利用555电路实现; (3)球被击中、犯规的判断; (4)计数器的使用:采用74LS90和74LS161的组合,给玩家计分; (5)关于比分的显示:通过CD4511译码芯片将计数器的输出状态显示到2位共阴极数码管上。 关键词:双向移位4位寄存器、555电路、译码电路、计数器系统

目录 1 设计任务 (1) 2 电路整体设计 (2) 2.1 译码显示电路设计 (4) 2.2 555定时器组成脉冲发生器 (5) 2.3模拟乒乓球电路的设计 (6) 3 电路整体性能的检测 (7) 3.1 译码显示电路的检测 (7) 3.2 脉冲发生器电路的检测················································································· 3.3模拟乒乓球电路的检测··················································································4实验结论····················································································································5课程设计心得体会以及建议····················································································6 Abstract ······················································································································7附录(包含元器件清单以及各元器件功能表) ······················································8参考文献····················································································································

机械原理课程设计-乒乓球发球机说明书

机械原理课程设计 说明书 机械工程学院机械设计制造及其自动化专业 11级机自9班 设计者: 指导教师: 2013年 07 月 19 日

一.设计题目 (3) 二.项目组成员及分工 (3) 三.设计方案与选择 (4) 1. 功能分析与分解 (4) 2. 设计方案与原理 (4) 送球装置的设计 (4) 3. 方案评价与选择 (6) 4. 总体方案 (7) 5.机构简图: (8) 6.运动循环图: (8) 四.选定机构的尺寸设计 (9) 1. 机构的运动简图 (9) 2. 机构的自由度计算 (10) 3. 机构的尺寸及数据设计 (10) (1)齿轮机构数据设计 (10) (2)送球机构的尺寸分析 (12) (3)摆头机构的尺寸分析 (13) A. 连杆的尺寸设计 (13) B. 凸轮的尺寸设计 (15) 五.选定机构的运动分析 (17) 1.送球装置的运动分析 (17) A.位移分析 (17) B.速度分析 (18) C.加速度分析 (19) D.平衡力矩分析 (20) 3. 发球机构的运动分析 (22) 速度分析 (22) 六.心得体会 (22) 七.参考资料 (23) 八.附录 (23)

一.设计题目 1.选题意义 乒乓球是一种世界流行的体育项目,深受人们的喜爱。但作为一种对抗性运动,当你只有一个人的时候,只能徒唤奈何了。乒乓球发球机便是为了应对这种情况产生的,它对人们技术的提高与自身的锻炼有很大的帮助。 2.设计要求 乒乓球直径d=40mm 发球时的速度v=10~30m/s 乒乓球发球机的摆角为±15° 乒乓球发球机发球频率f=30~50个/分钟 乒乓球发球机发出的球需带旋度 乒乓球在距乒乓球台300mm的高度释放 二.项目组成员及分工 小组成员:闫旭赵强郑笑竹 分工::机构设计、三维图制作、尺寸设计 :机构设计、三维图制作、说明书的编写、尺寸设计 :机构设计、尺寸设计及计算、运动分析、说明书、PPT 制作

数电课程设计乒乓球比赛word文档

乒乓球比赛游戏机 一、设计任务与要求 1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计,采用EWB 电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 2 课题的内容和要求 设计一个甲、乙双方参赛,裁判参与的乒乓球比赛游戏模拟机。 基本要求: (1)用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球,若击中,则“球”向相反方向运动,若未击中,则对方得1分。(3)设置自动计分电路,双方各用二位数码管来显示计分,每局11分。到达11分时产生报警信号。 提高要求: (4)一方得分时,电路自动响铃3s,这期间发球无效,等铃声停止后方能继续比赛。 (5)设置局数显示,5局结束后有声响提示比赛结束。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。

2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 二、方案设计与论证 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计: 1. 球台电路:球迹移动电路可采用双向移位寄存器方法实现,由发光二极管作光点模拟乒乓球移动的轨迹。 2. 驱动控制电路:由双D触发器及逻辑门电路构成,通过此电路来控制并且实现球台灯的左右移位即实现乒乓球的运动。 3. 计分电路:使用十进制的计数器、逻辑门和集成的4管脚的数码管来组成计分电路。 通过多次设计、画图及仿真实验,我们发现方案电路最简洁,原理简单易懂,操作也很方便,且实用性较强。故采用此方案进行设计。 三、单元电路设计

基于FPGA的乒乓球游戏机设计.

摘要 在现代电子设计领域,微电子技术迅猛发展,无论是系统设计、电路设计,还是芯片设计,其设计的复杂度都在增加,传统的手工设计方法已经不能满足设计者的要求,急需新的设计工具来解决。EDA技术的迅速发展顺应了时代的发展,使得电子设计自动化技术有了新的、快的发展,其重要程度日益突出。本文设计了基于FPGA的,用Verilog HDL语言描述的乒乓球游戏机的设计。它由控制模块、数码管的片选信号模块、送数据模块和7段译码器模块组成,连接形成乒乓球游戏机的顶层电路。在QuartusⅡ软件上用Verilog HDL语言分别对每个模块进行描述,然后在软件上进行编译、仿真,最终实现乓乓球比赛的基本过程和规则,并能自动裁判和计分,达到设计的要求。 关键词:EDA ;FPGA ;Verilog HDL Abstract In the field of modern electronic design, the rapid development of microelectronic technology,whether the system design, circuit design and chip design, the design is more complex, the traditional manual design methods have been unable to meet the requirements of designers,in urgent need of new design tools to solve. The rapid development of EDA technology to the development of the times, the electronic design automation technology has been the development of new, fast, its importance is outstanding day by day. This paper is designed based on FPGA, the design of table tennis game is described with Verilog HDL language. It consists of control module, chip select signal module, digital tube to send data module and the 7 segment decoder modules, the top-level circuit connected to form a table tennis game. In the Quartus software by Verilog HDL language for each module are described, and then the compiler, simulation in software, realize the basic process and the rules of table tennis table tennis competition, and can automatically judge and score, to meet the design requirements. Keywords: EDA; FPGA; Verilog HDL

教科版五年级科学下册实验报告单

五年级科学下册实验报告单 科学实验报告单1 实验名称物体的沉浮 实验目的观察物体的沉浮 实验材料水槽、水、塑料、小刀、泡沫、橡皮、萝卜、曲别针等各种材料 实验过程实验一: 取小石头、木块、橡皮、针等放入水中,观察它们的沉浮。 实验二:1、把水槽放在展台上,从袋中取出泡沫、回形针、萝卜等分别放入水中观察它们的沉浮 2、把小石块、橡皮、泡沫块、萝卜分别切成二分之一、四分之一、八分之一放入水中观察它们的沉浮 实验结论木块、塑料、泡沫在水中就是浮的;小石头、回形针在水中就是沉的。由同一种材料构成的物体改变它们的体积大小,在水中的沉浮就是不会发生改变的。 科学实验报告单2 实验名称影响物体沉浮的因素 实验目的研究物体的沉浮与哪些因素有关 实验材料水槽、小石块、泡沫塑料块、回型针、蜡烛、带盖的空瓶、萝卜、橡皮、一套同体积不同重量的球、一套同重量不同体积的立方体、小瓶子、潜水艇 实验过程实验1、按体积大小顺序排列七种物体,再标出它们在水中就是沉还就是浮。想一想,物体的沉浮与它的体积大小有关系不? 实验2、按轻重顺序排列七种物体,再标出它们在水中就是沉还就是浮。想一想,物体的沉浮与它的轻重有关系不 实验结论不同材料构成的物体,如果体积相同,重的物体容易沉;如果质量相同,体积小的物体容易沉。 科学实验报告单3 实验名称橡皮泥在水中的沉浮 实验目的橡皮泥排开水的体积 实验材料水槽、水、塑料、小刀、泡沫、橡皮、萝卜、曲别针等各种材料 实验过程实验一: 找一块橡皮泥做成各种不同形状的实心物体放入水中,观察它们的沉浮。 实验二:1、让橡皮泥浮在水面上,用上面同样大小的橡皮泥,改变它的形状,即把橡皮泥做成船形或者空心的,橡皮泥就能浮在水面上。 2、取一个量杯,装入200毫升的水,记录橡皮泥在水中排开水的体积。 实验结论实心橡皮泥质量不变,形状改变,体积也不变,橡皮泥的沉浮不会发生改变。 橡皮泥在水中排开水的体积越大,浮力越大。 科学实验报告单4 实验名称造一艘小船 实验目的比较哪种船载物多 实验材料水槽、若干橡皮泥、若干垫子、玻璃弹子、有关图片 实验过程一、准备1、决定造一艘什么船;2、准备需要的材料。 二、制作1、画出船的设想草图;2、动手制作。 三、改进与完成 1、放到水里试试,找出需要改进的地方; 2、演示、介绍我的小船。 实验结论相同重量的橡皮泥,浸入水中的越大越容易浮,它的装载量也随之增大。 科学实验报告单5

乒乓球自动发球机创新设计说明书

广州学院 《机械创新设计竞赛》产品设计说明书 乒乓球自动发球器 院(系)机械工程学院 专业机械工程及自动化 班级 09机电3班 学生何炳键 指导老师牛吉梅 2012 年12月 20日

机械创新设计任务书 兹发给09机电3班班学生何炳键设计任务书,内容如下:1.设计题目:兵乓球自动发球机的设计 2.应完成的项目: (1)了解自动乒乓球自动发球机的工作过程 (2)分析市场上的乒乓球自动发球机的相关情况 (3)根据要求对机构进行创新设计 (4)进行软件系统的设计 (5)做完课程设计之后的感受 (6)编写20页左右的详细说明书 3.参考资料以及说明: (1)李琳,李杞仪主编. 机械原理. 中国轻工业出版社,2009 (2)杨忠秀主编. 机械原理课程设计指导书. 机械工业出版社,2003 4.本设计任务书于2012年11 月14日发出,应于2012年12月21日前完成,然后进行答辩。 指导教师签发2012 年11 月14 日

课程设计评语: 课程设计总评成绩: 指导教师签字: 年月日

目录 摘要 (1) 第一章绪论 (2) 第二章机构设计 (3) 2.1 发球机的机构设计 (3) 2.2发球机的控制系统设计 (4) 第三章机构各部分的设计和选材 (6) 3.1主机体的设计和选材 (6) 3.2机座部分的设计 (7) 3.3输送机构的设计 (7) 3.4.材料的选择 (7) 3.5.传动齿轮的设计 (8) 第四章软件系统设计 (8) 4.1 软件系统设计 (8) 第五章结论 (12) 第六章设计后的感想 (13) 参考文献 (14)

摘要 摘要:乒乓球发球机是集电子、机械和计算机技术为一体的综合技术产物,它具有自动化程度高、灵敏度高、稳定性可靠等多种特性。它的基本工作原理是利用转轮摩擦球原理,乒乓球由于受到一个旋转磨擦轮的作用可以获得一定的初速度,而摩擦轮在一个直流电机的控制下工作。当电机带动驱动轮转动时,会磨擦乒乓球球使球获得一定的动能,乒乓球才得以发射出去。这种原理方案既简单又实用,它既能保证乒乓球球发射的稳定性,又能极好地控制乒乓球发射的角度及速度,使用户随心所欲地练习打乒乓球。 根据课程设计的要求,我选择了抛投机械的设计,根据相关的要求,我选用了乒乓球作为抛投物,本设计提出了一种全自动控制的乒乓球发球机。根据抛投物的特性,乒乓球质量较轻,因此发球机的体积相对比较小。该发球机应用单片机C8051为软控芯片,实现通过远程控制来改变发球快慢、发球力度、发球高度及角度等的功能,并可模拟进行人工发球,实现随机发球的效果。本设计实现简单,使用方便,可满足乒乓球运动员对不同强度专业训练的要求。也可以满足业余乒乓球爱好者的平时的训练和锻炼时使用。 关键词:发球机;单片机;远程控制;抛投

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A 或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;积分电路具有当A和B击球有效时加分和当游戏者的分数累计超过10分时报警通知裁判对系统进行初始化以便重新开始比赛积分的功能。 关键词:游戏机控制电路系统初始化

乒 乓 球 游 戏 机 设 计

Shaanxi University of Technology 通信工程专业课程设计Ⅱ 题目乒乓球游戏机设计 学生贾潇洒学号0713024056 所在院(系)陕西理工学院电信工程系 专业班级通信工程专业0 7 2 班 指导教师争兵 完成地点陕西理工学院电信工程系实验室 2010年 3 月 19 日

通信工程专业课程设计Ⅱ任务书 院(系) 电信工程系专业班级通信工程专业 072班学生贾潇洒 一、课程设计Ⅱ题目乒乓球游戏机设计 二、课程设计Ⅱ工作自 2010 年 3 月 1 日起至 2010 年 3 月 19 日止 三、课程设计Ⅱ进行地点: 电信工程系实验室 四、课程设计Ⅱ的容要求: [1]本课程设计的目的 本次课程设计为计算机类综合课程设计,通过计算机这个平台使我们将所学习的理论知识应用到实践中的一次尝试,同时也是对计算机辅助软件Max-pulsII的进一步熟悉。 [2]本课程设计的容 采用EDA技术---可采用VHDL或原理图输入法,设计一个乒乓球游戏电路,进行声光模拟,结果应有仿真波形、流程图、并下载在EDA开发板上,测试验证无误。 [3]本课程设计要实现的功能 用8个(或更多个)发光二极管排成一条直线代表乒乓球台,中间两个发光二极管兼做乒乓球网,两边各有两个开关控制双方的发球和接球,并能自动完成裁判、记分等功能。 [4]课程设计时间安排:3月1日-3月3日,熟悉容,方案论证; 3月4日-3月10日,编写程序、运行及仿真; 3月11日-3月12日,下载并验证其功能; 3月16 日,验收课程设计; 3月16日-3月19日,撰写、修改、提交课程设计报告。 指导教师系(教研室) 通信工程教研室接受任务开始执行日期 2010年3月1日学生签名

初中物理实验报告的范文

初中物理实验报告的范文 篇一:初中物理实验报告(921字) 器材 找一个底面很平的容器,让一个蜡烛头紧贴在容器底部,再往容器里倒水,蜡烛头并不会浮起来;轻轻地把蜡烛头拨倒,它立刻就会浮起来。 可见,当物体与容器底部紧密接触时,两个接触面间就没有液体渗入,物体的下表面不再受液体对它向上的压强,液体对它就失去了向上托的力,浮力当然随之消失了。 现在,你能提出为潜艇摆脱困境的措施了吗? “浮力是怎样产生的”,学生对“浮力就是液体对物体向上的压力和向下的压力之差”这一结论是可以理解的,但却难以相信,因此做好浮力消失的实验是攻克这一难点的关键,下面介绍两种简便方法。 [方法1] 器材:大小适当的玻璃漏斗(化学实验室有)一个、乒乓球一只、红水一杯。 步骤: (1)将乒乓球有意揿入水中,松手后乒乓球很快浮起。 (2)用手托住漏斗(喇叭口朝上,漏斗柄夹在中指和无名指之间),将乒乓球放入其中,以大拇指按住乒乓球,将水倒入漏斗

中,松开拇指,可见乒乓球不浮起,(这时漏斗柄下口有水向下流,这是因为乒乓球与漏斗间不太密合)。 (3)用手指堵住出水口,可见漏斗柄中水面逐渐上升,当水面升至乒乓球时,乒乓球迅即上浮。(若漏斗柄下口出水过快,可在乒乓球与漏斗接触处垫一圈棉花,这样可以从容地观察水在漏斗柄中上升的情况。) [方法2] 器材:透明平底塑料桶(深度10cm左右,口径宜大些,便于操作)一只、底面基本平整的木块(如象棋子、积木、保温瓶塞等)一个、筷子一根、水一杯。 制作小孔桶:取一铁扦在酒精灯上烧红,在塑料桶底面中央穿一小孔、孔径1cm左右,用砂纸将孔边磨平即成一小孔桶。 步骤: (1)将木块有意揿入水中,松手后木块很快浮起。 (2)将木块平整的一面朝下放入小孔桶中并遮住小孔,用筷子按住木块,向桶中倒水。移去筷子,可见木块不浮起。(这时小孔处有水向下滴,这是因为木块与桶的接触面之间不很密合)。 (3)用手指堵住小孔,木块立即上浮。 上述两例针对实际中物体的表面不可能绝对平滑这一事实,巧妙地利用“小孔渗漏”使水不在物体下面存留,从而使物体失去液体的向上的压力,也就失去了浮力,结果本应浮在水面上的

EDA课程设计--乒乓球游戏机

EDA课程设计一乒乓球游戏机

EDA技术课程设计课题:乒乓球比赛游戏机 系别:电气与电子工程系 专业: _______ 姓名: __________________________ 学号: __________________________ 指导教师: _______________________

河南城班学院 2012年6月日 成绩评定? 一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。

录 一、设计目的 (2) 二、设计要求 (6) 三、总体设计方案要求 (4) 1、设计的总体原理 (4) 2、设计内容 (6) 四、EDA设计与仿真 (6) 1、系统程序设计 (6) 2、系统程序各功能介绍 (12) 3、仿真结果及数据分析 (13) 五、硬件实现 (14) 1、硬件实现步骤 (14) 2、硬件实现结果 (66) 六、设计总结 (20) 七、参考文献 (21) 八、设计生成的电路总图 (21)

一、设计目的 通过对FPGA (现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实 际工程技术问题的能力、査阅图书资料和各种工具书的能力、工程绘图能力、撰写技术 报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力, 使学生积累实际EDA编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 二、设计要求 1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。 2、用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右, 或从右到 左,其移动的速度应能调节。 3、当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断 地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

基于VHDL的乒乓球游戏机设计

1引言 EDA(Electronic Design Automation,电子设计自动化)技术是现代电子工程领域的一门新技术。它提供了基于计算机和信息技术的电路系统设计方法。EDA技术的发张和推广应用极大地推动了电子工业的发展。EDA技术就是以计算机为工具,在EDA软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件自动地完成逻辑编译,逻辑化简,逻辑分割,逻辑综合及优化,逻辑布局布线,逻辑仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式,即利用硬件描述语言来完成对系统硬件功能的描述,在EDA工具的帮助下就可以得到最后的设计结果[1]。近年来,集成电路制造技术的快速发展, 一方面促进了相应设计技术的发展, 另一方面也对设计技术提出了更高的要求。当前集成电路设计, 面临着功能强、性能好、规模大、成本低、设计周期短等一系列要求和挑战, 这些要求和挑战引起了集成电路设计方法的全面革新。当今, 以行为设计为主要标志的新一代数字系统设计理论已形成并得到发展。在集成电路的数字系统的系统级设计中, VHDL 硬件描述语言构造的描述模型优化设计, 有利于高效利用设计空间, 实现设计结构的精确分析, 使芯片资源得以充分利用。 1.1课题的背景、目的 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。而休闲时间越来越少的人们越来越没太多的时间出去搞户外活动,于是众多电子游戏相应而出,成为大家的娱乐节目。因此设计了这个两人的乒乓球游戏。而电子信息类产品的开发明显地出现了两个特点:一是开发产品的复杂程度加深;二是开发产品的上市时限紧迫。而伴随着如上两个特点的产生,相应的出现了设计上的两个问题。其一,在电子系统日趋数字化、复杂化和大规模集成化的今天,电子厂商们越加迫切地追求电子产品的高功能、优品质、低成本、微功耗和微小封装尺寸,从而使得电子设计日趋复杂。那么如何去完成这些高复杂度的电子设计呢?其二,电子产品设计周期短和上市快是电子厂商们坚持不懈的追求,那么面对日趋复杂的设计,又如何能够缩短开发时间呢?解决以上两个问题的唯一途径是电子设计自动化(EDA),即用计算机帮助设计人员完成繁琐的设计工作。

可编程式逻辑器件设计试验报告实验五 乒乓游戏机

昆明理工大学信息工程与自动化学院学生实验报告 (2012——2013 学年第 2 学期) 课程名称:可编程逻辑器件开课实验室:信自楼504 2013年5月17日 一、实验目的 1. 初步掌握Verilog HDL语言的设计方法 2.利用Verilog HDL完成一个乒乓游戏机的设计及仿真 二、实验内容 设计一个乒乓球游戏机,模拟乒乓球比赛基本过程和规则,并能自动裁判和计分。具体要求如下: 1)使用乒乓球游戏机的甲乙双方各在不同的位置发球或击球。 2)乒乓球的位置和移动方向可由LED显示灯和一次点亮的方向决定,球的移 动速度设为0.5秒移动一位。使用者可按乒乓球的位置发出相应的动作,提前击球或出界均判失分。 三、实验原理 乒乓球游戏机是用16个发光二极管代表乒乓球台,中间两个发光二极管兼作乒乓球网,用点亮的发光二极管按一定方向移动来表示球的运动。另外设置发球开关Af、Bf,以及接球开关Aj、Bj。利用7段数码管作为计分牌。 甲乙双方按乒乓球比赛规则来操作开关。当甲方按动发球开关Af时,靠近甲方的第一个灯亮,然后按顺序向乙方移动;当球过网后,乙方可以接球,接球后灯反方向移动,双方继续比赛;如果一方提前击球或未击到球,则判失分,对方加分。重新发球后继续比赛。

电路符号 乒乓球游戏机的电路符号如下图所示。 输入信号:系统时钟clk1khz(输入1kHz的时钟信号);系统复位端rst;甲方发球af;甲方接球aj;乙方发球af;乙方接球aj。 输出信号:16个LED显示模块shift[15:0];数码管地址选择信号scan[3:0];7段显示控制信号seg7[6:0]. 四、实验方法 采用文本编辑法,利用Verilog HDL语言描述乒乓球游戏机。下面的代码实现对当前局的计分,需手动清除计分进行下一局比赛;可以尝试增加局分显示,如一方记满11分,当前局计分自动清零,局比分自动增加。 module ping_pang(shift,scan,seg7,clk1khz,rst,af,aj,bf,bj); output[15:0] shift; output[3:0] scan; output[6:0] seg7; input clk1khz; //1khz时钟信号 input af; //a方发球 input aj; //a方接球 input bf; //b方发球 inpit bj; //b方接球 input rst; //系统复位端 reg[15:0] shift; //16个LED,甲左乙右,中间两个作球网 reg[3:0] scan; //数码管地址选择信号 reg[6:0] seg7; //段选码(abcdefg) reg clk1_2hz; reg[3:0] a_score,b_score; reg[1:0] cnt; reg[3:0] data; reg[3:0] a_one,a_ten,b_one,b_ten; reg[7:0] count;

乒乓球比赛游戏机设计

目录 1 引言 0 1.1设计背景 0 1.2VHDL简介 0 1.3Q UARTUSⅡ简介 (1) 2 乒乓球比赛游戏机的设计 (1) 2.1系统设计要求 (1) 2.2设计思路 (2) 3 乒乓球比赛游戏机的实现 (4) 3.1乒乓球比赛游戏机的顶层原理图 (4) 3.2系统各功能模块的实现 (5) 3.2.1 比赛控制模块 (5) 3.2.2 记分模块 (5) 3.2.3 数码管显示模块 (6) 4 各个模块的仿真以及系统仿真、分析 (7) 4.1比赛控制模块仿真波形 (7) 4.2记分模块仿真波形图 (8) 4.3管脚锁定 (9) 4.4系统的波形仿真 (9) 4.5显示结果的几种情况 (12) 5 总结 (13) 5.1设计制作过程中遇到的问题及解决方案 (13) 5.2本设计有以下几个可以改进的地方 (13) 参考文献................................................................................................................... 错误!未定义书签。附录 (13) 游戏控制模块的VHDL程序 (13) 记分模块的VHDL程序 (16) 动态扫描模块的VHDL程序 (17) 译码器模块的VHDL程序 (18)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

运动处方实验报告

运动处方实验报告 实验目的:按照运动处方有计划地进行健身锻炼,能够明显的减少动伤病的发生率,提高身体机能,以便达到预防慢性疾病的目的。实验原理:运动处方是指导人们有目的、有计划、科学的进行锻炼的重要方式。根据患者、运动员、健身教练者的年龄性别健康状况以及身体锻炼经历还有心肺功能等机能水平,以运动处方的形式制定系统化、个性化的健身方案。 实验工具和材料:记录笔笔记本计算器电脑 实验对象:乒乓球专修队员 实验步骤:调查被实施运动处方者一般情况和运动情况: 一般情况:不经常锻炼或者锻炼次数很少。 运动情况:从小爱好体育运动,从高二开始参加正规的体育训练,上大学后曾在推铅球的运动中脚趾受伤骨折。 对丁玉峰同学进行饮食营养调查和统计, 1、调查今日饮食:早餐:米线200g 豆浆一杯:300g 中餐:米饭:400g青椒100g 猪肉:85g 晚餐:一个苹果200g一根火腿肠:50g米饭300g 2、今日运动情况:打乒乓球1小时30分钟步行30分钟 基础代谢(kJ)=体表面积(㎡)×基础代谢率(kJ/㎡/h)×24(h)部分食物和运动的热量表:(数据由网上查得) 米线:346/100 豆浆:13/100

米饭:117/100 猪肉:349/85 青椒:28/100 苹果:106/200 火腿肠:106/50 步行每半小时消耗75卡乒乓球每半小时消耗180卡 由计算得出今天吃的食物所提供的能量为1437cal 当天运动所消耗的能量为225kcal+75kcal+432kcal=615cal 所以今天的能量消耗为正平衡,消耗的能量小于于提供的能量。3、通过了解被实施运动处方者的爱好,结合计算所得的24h饮食量供应情况进行分析及选择合适的运动项目。据调查他的爱好是球类运动,但我认为该同学饮食结构单一,蛋白质摄入明显不足,由于他要练习球类,球类运动属于一种高强度的运动,据<中国居民膳食蛋白质推荐摄入量>(运动营养学P19表1-9),同学每天蛋白质摄入量应为70g/d,所以我先让他改善饮食结构,多吃一些蛋白质含量丰富的食物,如:鸡蛋,牛奶,牛肉等。然后根据他的爱好制定如下运动处方:先进行2分钟的慢跑热身,然后做3分钟的灵敏性练习,再做5分钟的力量练习,最后进行10分钟的耐力练习。 4、改善饮食结构后,选择合理的时间进行一次运动。 5、结果分析: 从今天的实验来看,运动效果好,在今后的生活中,依然要注意 营养的搭配饮食,避免健康问题的出现。

DIY乒乓球发球机

DIY乒乓球发球机 1材料准备 名称数量备注摩托车风扇电机 2 5000转/分,12V,30W 四驱两驱减速电机 3 180转/分,12V,30W PWM12V调速器 3 淘宝 厚3MM铝板 1 250mm*250mm 12V旧电脑电源 1 10A 直径16MM铝棒 1 长65mm M4*8的螺丝螺母20对 M4*6 10 M5*9 5对 3A双芯电线8米 MM50PPV水管1米 PPC45度弯管2个 PPC90度弯管1个 PPVT型管接头1个 直径3mm的钢丝500mm 硅胶轮2只车床加工 矿泉水筒1只 3A的开关5个

2制作过程 2.1Cad制图 2.2铝板3mm加工如下图,用于安装高速电机,机头等。 2.3PPV50mm水管挖孔用于机头,直径16mm铝棒加工,用于连接减速电机与T型拔球器与G型顶球器。

2.4机头原理及装配图 如下图,发球机出球管上有两个高速旋转的摩擦轮4 ,7由安装在板3上的高速电机5,8带动,它们的旋转方向相反,它们之间的间隔空隙略小于乒乓球的直径。当球接触到摩擦轮的时候,由于受到两个反向旋转轮的摩擦力作用。球便获得一定的初速度,从而将球发射出去。当两摩擦轮速度不同时,即可发射不同旋转速度的上球或下旋球,双轮转速相等时,就是不转球的发射。出球管可以转动,转动出球管,就可发出侧旋球,或侧上,下旋球等。摇头口1在减速电机9、圆型偏心凸轮2以及弹簧10的作用下实现摇头运动。换上不规则轮廓的凸轮,球的落点心可实现随机模式。6为两个45度弯管,相对转动可以实现出球口在一个半球范围内任意调整,以便实现高吊球,弧圈球,等。 2.5控制原理及装配图 实际应用中,普通的双轮驱动发球机基本采用单片机控制直流电机的电压,需要反复尝试才能改变出球弧线。高档双轮发球机可以发出高吊球,前冲弧圈球,攻球,削球,不转球,长胶球,以及各种旋

电子技术乒乓球比赛游戏机课程设计模板

电子技术乒乓球比赛游戏机课程设计

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。经过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作, 也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途, 并将理论与实践相结合。 1.1.2 课题的内容和要求 独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体内容如下: 乒乓球比赛是由甲乙双方参赛, 加上 二极管

设计要求: 1、基本部分 (1) 至少用8个LED排成直线, 以中点为界, 两边各代表参赛双方的位置, 其中一个点亮的LED( 乒乓球) 依次从左到右, 或从由到左移动, ”球”的移动速度能由时钟电路调节。 (2) 当球( 被点亮的那只LED) 移动到某方的最后一位时, 参赛者应该果断按下自己的按扭使”球”转向, 即表示启动球拍击中, 若行动迟缓或超前, 表示未击中或违规, 则对方得一分。 (3) 设计自动记分电路, 甲乙双方各用一位数码管显示得分, 每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权, 每得5分自动交换发球权, 拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分, 电路自动响铃3秒, 此期间发球无效, 等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图, 以说明乒乓球比赛游戏机由哪些相对独立

乒乓球游戏机--EDA课程设计--完整版本

目录 1 设计目的 (1) 2 设计要求和任务 (1) 2.1设计任务 (1) 2.2设计要求 (1) 3 总体设计思路及原理描述 (1) 4 分层次方案设计及代码描述 (2) 4.1控制模块的设计 (2) 4.1.1 cornal模块分析 (2) 4.1.2 cornal模块VHDL程序描述 (3) 4.2送数据模块的设计 (7) 4.2.1 ch41a模块分析 (7) 4.2.2 ch41a模块VHDL程序描述 (7) 4.3产生数码管片选信号模块的设计 (8) 4.3.1 sel模块分析 (8) 4.3.2 sel模块VHDL程序描述 (9) 4.4 七段译码器模块的设计 (10) 4.4.1 disp模块分析 (10) 4.4.2 disp模块VHDL程序描述 (10) 4.5 顶层原理图设计 (11) 5 各模块的时序仿真图 (12) 6 总结 (14) 7 参考文献 (14)

乒乓游戏机 1 设计目的 掌握熟悉的使用Quartus II 9.1软件的原理图绘制,程序的编写,编译以及仿真。体会使用EDA综合过程中电路设计方法和设计思路的不同。掌握使用EDA工具设计乒乓游戏机的的设计思路和设计方法。 2 设计要求和任务 2.1设计任务 设计一个乒乓球游戏机,该机模拟乒乓球比赛的基本过程和规则,并能自动裁判和几分。 2.2设计要求 (1)甲乙双方各在不同的位置发球或击球。 (2)乒乓球的位置和移动方向由灯亮及依次点亮的方向决定,球移动的速度为0.1-0.5秒移动一位。 (3)11分为一局,甲乙双方都应设置各自的几分牌,任何一方先记满11分,该方胜出。当记分牌清零后,重新开始。 3 总体设计思路及原理描述 由乒乓游戏机功能,用原理图作为顶层模块,再将底层划分成四个小模块来实现:(1)cornal模块:整个程序的核心,它实现了整个系统的全部逻辑功能; (2)ch41a模块:在数码的片选信号时,送出相应的数据; (3)sel模块:产生数码管的片选信号; (4)disp模块:7段译码器。

相关主题