搜档网
当前位置:搜档网 › 完整word版,VHDL期末考试题

完整word版,VHDL期末考试题

完整word版,VHDL期末考试题
完整word版,VHDL期末考试题

1.结构体的三种描述方式:-行为描述-数据流描述-结构化描述

2.一般将一个完整的VHDL程序称为设计实体

3. VHDL设计实体的基本结构由库、程序包、实体、结构体和配置组成。

4.VHDL不区分大小写。

5.常用的库:library ieee ,程序包:use ieee.std_logic_1164.all

6.VHDL程序的基本结构至少应包括实体、结构体和对库的引用声明。

7.在VHDL程序中使用的文字、数据对象、数据类型都需要事先声明。

8. VHDL的实体由实体声明和结构体组成。VHDL的实体声明部分指定了设计单元的输入出端口或引脚,它是设计实体对外的一个通信界面,是外界可以看到的部分。VHDL的结构体用来描述实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。

9.端口方向模式:IN(输入)、OUT(输出<构造体内部不能再使用>)、INOUT(双向)、BUFFER (缓冲<构造体内部可再使用>)

10.VHDL的标识符名必须以(字母开头),后跟若干字母、数字或单个下划线构成,但最后不能为(下划线),不能连续两个下划线相连。

11. 为信号赋初值的符号是(:=);程序中,为变量赋值的符号是(:=),为信号赋值的符号是(<=)

12. VHDL的数据类型包括标量类型、复合类型、存储类型和文件类型

请列出3个VHDL语言的数据类型,如实数、位等。位矢量,字符,布尔量,整数,字符串,时间,错误等级,自然数,正整数。

13. VHDL的操作符包括逻辑、算术、关系和并置四类

14.、GAL、PLA、PAL(早期)

:基于乘机项技术构造的可编程逻辑器件,不需要配置外部

程序寄存芯片

FPGA(现场可编程门阵列):基于查找表技术构造的可编程逻辑器件,需要配置外部程序寄

存芯片

15.VHDL客体或数据对象:常量、信号、变量(可被多次赋值)、文件。

16.一个VHDL程序中可以使用多个进程process语句,一个设计实体可以拥有多个结构体。

17.VHDL的预算操作包括:逻辑运算符、关系运算符、乘法运算符(优先级<<<)

逻辑运算符、关系运算符、加减并置运算符、正负运算符、乘法运算符、

18.VHDL中std_logic类型:‘Z’表示高阻,‘X’表示不确定

19.将一个信width定义为一个4位标准逻辑向量为:signal width :std_logic_vector(3 downto 0) 定义一个变量a,数据类型为4位位向量:variable a :bit_vector(3 downto 0)

20.赋值语句是并行执行,IF语句是串行执行。

21.标准逻辑是一个具有九值逻辑的数据类型

22.表示‘0’‘1’两值逻辑的数据类型是bit,表示‘0’‘1’‘Z’等九值逻辑的数据类型是std_logic ,表示空操作的数据类型是NULL

23.<=是小于等于关系运算符,又是赋值运算操作符

/=是不相等操作符,功能是在条件判断是判断操作符两端不相等。

NOT是逻辑运算符,表示取反,在所有操作符中优先级最高。

30.并置运算符 & 的功能是把多个位或位向量合并为一个位向量。

24.位类型的初始化采用字符,位矢量用字符串

25.进程必须位于结构体内部,变量必须定义于进程内部

26.进程执行的机制是敏感信号发生跳变

27. VHDL语言可以有以下3种形式的子结构描述语句: BLOCK语句结构; PROCESS语句结

构和SUBPROGRAMS结构。

29整型对象的范围约束通常用 range 关键词,位矢量用 downto/to 关键词。

31. 判断CLK信号上升沿到达的语句是 if clk’event and clk = ‘1’ then .

32. IF语句各条件间具有不同的优先级。

33、任何时序电路都以时钟为驱动信号,时序电路只是在时钟信号的边沿到来时,

其状态才发生改变。

34、 Moore状态机输出只依赖于器件的当前状态,与输入信号无关。

35.、IF语句根据指定的条件来确定语句执行顺序,共有3种类型:用于门闩控制的IF

语句、用于二选一控制的IF语句、用于多选择控制的IF语句。

简答题:

1、简述信号与变量的区别。

a.信号延时赋值,变量立即赋值

b.信号的代入使用<=,变量的代入使用:=;

c.信号在实际的硬件当中有对应的连线,变量没有

2、简述可编程逻辑器件的优点。

a.集成度高,可以替代多至几千块通用IC芯片.极大减小电路的面积,降低功耗,提高可靠性

b.具有完善先进的开发工具.提供语言、图形等设计方法,十分灵活.通过仿真工具来验证设计的正确性

c.可以反复地擦除、编程,方便设计的修改和升级

d.灵活地定义管脚功能,减轻设计工作量,缩短系统开发时间f.保密性好

3、试比较moore状态机与mealy状态机的异同。

Moore输出只是状态机当前状态的函数. Mealy输出为有限状态机当前值和输入值的函数

3、简述VHDL语言与计算机语言的差别。

a.运行的基础。计算机语言是在CPU+RAM构建的平台上运行。VHDL设计的结果是由具

体的逻辑、触发器组成的数字电路b.执行方式.计算机语言基本上以串行的方式执

行.VHDL在总体上是以并行方式工作c.验证方式.计算机语言主要关注于变量值的变化.VHDL要实现严格的时序逻辑关系

4、简述实体端口的模式

输入(Input):clk、reset、en、addr等

输出(Output):输出信号,不能内部引用

双向(Inout):可代替所有其他模式,用于设计双向总线

缓冲(Buffer):与Output类似,但允许该管脚名作为一些逻辑的输入信号

5、进程语句是设计人员描述结构体时使用最为频繁的语句,简述其特点。

a.它可以与其它进程并发执行,并可存取结构体或实体中所定义的信号;

b.进程结构中的所有语句都是按顺序执行的

c.为了启动进程,在进程结构中必须包含一个显式的敏感信号量表或者包含一个wait

语句;d.进程之间的通信是通过信号量的传递来实现的

6、简述如何利用计数器精确控制时序。

a.只要知道晶振频率f,即可知道周期T=1/f;

b.使用一个计数器,可以通过计数值n,精确知道当计数值为n时消耗的时间t=nT;

上例中以n为控制条件,可以控制其它信号在某时刻变高,某时刻变低,从而产生精确时序

编程题:

1.3-8译码器0

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY decoder_3_to_8 IS

PORT (a,b,c,g1,g2a,g2b:IN STD_LOGIC;

y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END decoder_3_to_8;

ARCHITECTURE rtl OF decoder_3_to_8 IS

SIGNAL indata:STD_LOGIC_VECTOR (2 DOWNTO 0);

BEGIN

indata <= c & b & a;

PROCESS (indata,g1,g2a,g2b)

BEGIN

IF (g1 = '1' AND g2a = '0' AND g2b = '0' ) THEN

CASE indata IS

WHEN "000"=> y <= "11111110";

WHEN "001" => y <= "11111101";

WHEN "010" => y <= "11111011";

WHEN "011" => y <= "11110111";

WHEN "100" => y <= "11101111";

WHEN "101" => y <= "11011111";

WHEN "110" => y <= "10111111";

WHEN "111" => y <= "01111111";

WHEN OTHERS=> y <= "XXXXXXXX";

END CASE;

ELSE

y <= "11111111";

END IF;

END PROCESS;

END rtl;

3、填写完成一个3-8线译码器的真值表(5分),并写出其VHDL程序(10分)。

entity tri_eight is

port(

a: in std_logic_vector (2 downto 0);

en: in std_logic;

y: out std_logic_vector (7 downto 0)

);

end tri_eight; (2) architecture a of tri_eight is

signal sel: std_logic_vector (3 downto 0); (4) begin

sel(0) <= a(0); sel(1) <= a(1); sel(2) <= a(2); sel(3) <= en; (5) with sel select

y <= "00000001" when "1000",

"00000010" when "1001",

"00000100" when "1010",

"00001000" when "1011",

"00010000" when "1100",

"00100000" when "1101",

"01000000" when "1110",

"10000000" when "1111",

"00000000" when others; (9) end a; (10) 3、根据下表填写完成一个3-8线译码器的VHDL程序(16分)。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY decoder_3_to_8 IS

PORT (a,b,c,g1,g2a,g2b:IN STD_LOGIC;

y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); (2)

END decoder_3_to_8;

ARCHITECTURE rtl OF decoder_3_to_8 IS

SIGNAL indata:STD_LOGIC_VECTOR (2 DOWNTO 0); (4)

BEGIN

indata <= c & b & a; (6)PROCESS (indata,g1,g2a,g2b)

BEGIN

IF (g1 = '1' AND g2a = '0' AND g2b = '0' ) THEN (8)CASE indata IS

WHEN "000"=> y <= "11111110";

WHEN "001" => y <= "11111101";

WHEN "010" => y <= "11111011"; (10)

WHEN "011" => y <= "11110111";

WHEN "100" => y <= "11101111";

WHEN "101" => y <= "11011111";

WHEN "110" => y <= "10111111"; (12)

WHEN "111" => y <= "01111111";

WHEN OTHERS=> y <= "XXXXXXXX";

END CASE;

ELSE

y <= "11111111"; (14)

END IF;

END PROCESS; (16)

END rtl;

2.二选一VHDL程序

Entity mux is

port(d0,d1,sel:in bit;

q:out BIT );

end mux;

architecture connect of MUX is

signal tmp1, TMP2 ,tmp3:bit;

begin

cale:

block

begin

tmp1<=d0 and sel;

tmp2<=d1 and (not sel)

tmp3<= tmp1 and tmp2;

q <= tmp3;

end block cale;

end CONNECT;

2.2二选一IF语句编写

Entity sel2 is

Port (a,b : in std_logic;

sel : in std_logic;

q : out std_logic);

End sel2;

Architecture a of sel2 is

begin

if sel = ‘0’ then q <= a;

else q <= b;

end if;

end a;

3.三态门电原理图如右图所示,真值表如左图所示,请完成其VHDL程序构造体部分。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY tri_gate IS

PORT(din,en:IN STD_LOGIC;

dout : OUT STD_LOGIC);

END tri_gate ;

ARCHITECTURE zas OF tri_gate IS

BEGIN

PROCESS (din,en)

BEGIN

IF (en=‘1') THEN dout <= din;

ELSE dout <= ‘Z’;

END IF;

END PROCESS ;

END zas ;

4.四选一、用IF语句编写一个四选一电路,要求输入d0~d3, s为选择端,输出y。entity MUX4 is

port( (2)

s: in std_logic_vector(1 downto 0); (4)

d: in std_logic_vector(3 downto 0); (6)

y: out std_logic (8)

);

end MUX4;

architecture behave of MUX4 is

begin

process(s)

begin

if (s="00") then

y<=d(0);

elsif (s="01") then

y<=d(1);

elsif (s="10") then

y<=d(2);

elsif (s="11") then

y<=d(3);

else

null;

end if;

end process;

end behave;

5、填写完成一个8-3线编码器的真值表(5分),并写出其VHDL程序(10分)。

8 -3线编码器真值表

entity eight_tri is

port(

b: in std_logic_vector(7 downto 0);

en: in std_logic;

y: out std_logic_vector(2 downto 0)

);

end eight_tri; (3)

architecture a of eight_tri is

signal sel: std_logic_vector(8 downto 0); (4)

begin

sel<=en & b;

y<= “000” when (sel=”100000001”)else

“001” when (sel=”100000010”)else

“010” when (sel=”100000100”)else

“011” when (sel=”100001000”)else

“100” when (sel=”100010000”)else

“101” when (sel=”100100000”)else

“110” when (sel=”101000000”)else

“111” when (sel=”110000000”)else(9)

“zzz”;(10)

end a;

6. 图中给出了4位逐位进位全加器,请完成其VHDL程序。(本题16分)

library IEEE;

use IEEE.std_logic_1164.all;

use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all;

entity full_add is port (a,b: in std_logic_vector (3 downto 0); carr: inout std_logic_vector (4 downto 0); sum: out std_logic_vector (3 downto 0)); end full_add;

architecture full_add_arch of full_add is component adder port (a,b,c: in std_logic; carr: inout std_logic; sum: out std_logic); end component; begin carr(0)<='0'; u0:adder port map(a(0),b(0),carr(0),carr(1),sum(0)); u1:adder port map(a(1),b(1),carr(1),carr(2),sum(1)); u2:adder port map(a(2),b(2),carr(2),carr(3),sum(2)); u3:adder port map(a(3),b(3),carr(3),carr(4),sum(3)); end full_add_arch;

7.数值比较器VHDL 程序的进程(不必写整个结构框架),要求使能信号g 低电平时比较器开始工作,输入信号p = q ,输出equ 为‘0’,否则为‘1’。(本题10分) process(p,q) begin if g='0' then if p = q then equ_tmp <= '0'; else equ_tmp <= '1'; end if; else equ_tmp <= '1'; end if; end process;

8. 编写一个2输入与门的VHDL 程序,请写出库、程序包、实体、构造体相关语句,将端口定义为标准逻辑型数据结构(本题10分)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY nand2 IS

&

a b

y

PORT (a,b:IN STD_LOGIC;

y:OUT STD_LOGIC);

END nand2;

ARCHITECTURE nand2_1 OF nand2 IS

BEGIN

y <= a NAND b;

END nand2_1;

9设计异或门逻辑:(本题20分)

如下异或门,填写右边的真值表。(此项5分)

a

试编写完整的VHDL代码实现以上逻辑。可以采用任何描述法。

library ieee;

use ieee.std_logic_1164.all;

entity yihuo1 is

port( a,b :in std_logic;

y :out std_logic );

end yihuo1;

architecture yihuo1_behavior of yihuo1 is

begin

y<=a xor b;

end yihuo1_behavior;

10. 4位加法计数器VHDL程序的进程(不必写整个结构框架),要求复位信号reset低电平时计数器清零,变高后,在上升沿开始工作;输入时钟信号为clk,输出为q。(本题10分)Process(reset,clk)

begin

if reset = ‘0’ then q <= “0000”;

elsif clk’event and clk = ‘1’ then q <= q + 1;

end if;

end process;

11、根据已给出的二-十(BCD)进制优先权编码器功能表,试写出其VHDL程序。(本题15分)

entity prior is

port(

d : in std_logic_vector(9 downto 1);

q : out std_logic_vector(3 downto 0)

);

end prior; (2)

architecture behavior of prior is

begin

process(d)

begin

if d = "111111111" then

q <= "1111";

elsif d(9) = '0' then

q <= "0110";

elsif d(8) = '0' then

q <= "0111";

elsif d(7) = '0' then

q <= "1000";

elsif d(6) = '0' then

q <= "1001";

elsif d(5) = '0' then

q <= "1010";

elsif d(4) = '0' then

q <= "1011";

elsif d(3) = '0' then

q <= "1100";

elsif d(2) = '0' then

q <= "1101";

elsif d(1) = '0' then

q <= "1110"; end if; end process;end behavior;

1

library IEEE;

use IEEE.std_logic_1164.all;

entity VposDff is

port (CLK, CLR, D: in STD_LOGIC; ----------2分

Q, QN: out STD_LOGIC ); ----------4分

end VposDff;

architecture VposDff_arch of VposDff is

begin

process ( CLK, CLR ) ----------6分

begin

if CLR='1' then Q <= '0'; QN <='1';

elsif CLK'event and CLK='1' then

Q <= D; QN <= not D; ----------8分 end if;

end process; ----------10分end VposDff_arch;

级春期语文期中考试试卷word版

二年级语文 一、看拼音写词语(12分) miáo zhǔn bì lǜɡū niɑnɡ jiàn kānɡ()()()() xī wànɡ shǐ jìn shènɡ lì qiánɡ liè()()()() fǔmōxiōnɡèqīnɡtínɡchìbǎnɡ()()()()二、比一比,再组词。(6分) 蓝()恨()绕() 篮()狠()晓() 怕()饺()缓() 拍()咬()暖() 三、选字填空。(12分) 1、诚城 ()市()实长()真()2、列烈 ()日()车()火热() 3、恨狠很

()多仇()()心凶() 四、把不是一类的词语用“”画出来。(8分) 1、妈妈爸爸奶奶老师姑姑 2、春节过节端午节中秋节元旦 3、上午下午夕阳傍晚深夜 4、碧绿雪白粉红颜色乌黑 五、你能照样子填一填吗?(8分) 1、例:一(张)纸一()人一()风 一()桥一 ( )茶叶 2、例:认真地(写字) 缓缓地()健康地() 例:明亮的(教室) 绿油油的()暖洋洋的()六、回忆课文内容填空。( 21分) 1、《春晓》的作者是,诗题中“晓”的意思是,“春眠不觉晓”中“晓”的意思是;这首诗的后两句是:,。 2、照样子,写几个与蚕姑娘有关的词语。 例:又黑又小、、。 3、水里一群的孩子,快乐得好象一样。读了这 句话,我体会到孩子们。 4、风和太阳都说自己的大。读了这篇课文,我明白 了。

5、我从《》这个故事中知道凶恶的老虎被狡猾 的狐狸骗了。 6、谁言寸草心,。这句话出自写 的《》一诗。 7、这半学期我们又学会了很多成语,选择你最喜欢的四个成语写下来。 ()()()() 七、读课文片断,完成练习。(33i分) (一) 不管是寒冬腊月,还是盛夏酷暑,他都起早贪黑地跟着师傅习武练功。有时累得腰酸背疼,很想松口气,但一想到要去解救妈妈,浑身就增添了力量。 1、这一段话中表现沉香吃苦多的词语有、 。(4分) 2、找出这段话中的一对反义词,写下来。(4分) 3、读了这段话,你想对沉香说些什么?(3分) (二) 猴子在河边玩,看见鱼儿在水里游得那样欢,心里很羡慕。后来,它又看见虾儿、蟹儿在水里也都游得挺好。猴子觉得游泳是一件很容易的事,也想跳下水试试。

大学期末考试题

一、单项选择题(本大题共 20 小题,每小题 1 分,共 20 分) 1.咨询顾问最核心的能力是其( D ) A.专业水平B.策划力C.技术能力D.影响力 2.在设备结构优化战略实施过程中,为实行大批量生产,企业应提高比重的设备是(D) A.服役期短的设备B.技术水平高的设备C.通用型设备D.专用型设备 3.一般来讲,新兴产业的收入弹性系数( C ) A.小于 1 B.等于 1 C.大于 l D.不确定 4.一般来讲,标准产品的适宜推销方式是( D ) A.人员推销B.展示会C.关系营销D.广告 5.企业战略涉及期限较长,一般认为应( C ) A.至少 3 年B.3~5 年C.至少 5 年D.至少 10 年 6.财务管理咨询的主要对象是( C ) A.企业经营活动B.企业生产活动C.企业资本活动D.商品流通活动 7.为实现企业的目标利润而把成本费用控制在合理的水平之下的谋划与方略,属于 ( D ) A.成本结构优化战略B.成本控制战略C.节约成本战略D.目标成本战略 8.山东水泥厂张才奎同志身先士卒,不图报酬,参加全厂最苦的“打窑皮”,带领全厂职工一举扭转十年亏损的局面。张才奎实施的方案属于( B ) A.企业员工共同信念战略方案B.企业凝聚力方案C.企业整体战略方案D.企业职工形象方案 9.企业行为识别系统的简称是( B ) A.MI B.BI C.VI D.CIS 10.咨询机构在具体职位的设计中,首先应考虑( A ) A.工作的需要B.咨询人员的素质C.人际关系D.心理结构 11.企业使命和战略目标的咨询属于组织结构内( A) A.最高层次的咨询B.中间层次的咨询C.第二层次的咨询D.第三层次的咨询 12.企业成长第三阶段有可能发生的危机是( B ) A.专制危机B.控制危机C.领导危机D.丧失活力危机 13.新产品一般是指在以下哪一范围内第一次试制鉴定确认的产品?( C ) A.企业内B.企业所在地区C.一个省、市、自治区D.全国

决赛《温病学》试题汇编

中医临床学院大学生知识和技能竞赛决赛《温病学》试题 一、单选题:(每小题有A、B、C、D、E五个备选答案,其中只有一个为最佳答 案,其余选项为干扰答案。在五个选项中选出一个最佳答案,并将正确答案的 号码填在右边的括号内) 1.温病学在因证脉治方面形成完整体系的标志是:() A 以卫气营血和三焦为核心的辨治理论体系的确立 B 以吴有性为代表的温疫学派医家的出现 C 以寒凉清热为主的治则的确立 D 伏邪温病学说的确立 E 温病病因学说的确立 2.下列哪项不属《难经》所言广义伤寒的病种?() A 温病 B 热病 C 中风 D 湿温 E 暑温 3.温病学的萌芽阶段是在:() A 战国至晋唐时期 B 宋金元时期 C明清时期 D 民国时期 E 新中国成立后 4.温病学的成长阶段是在:() A 战国至晋唐时期 B 宋金元时期 C明清时期 D 民国时期 E 新中国成立后 5、温病学说成熟时期是:() A 宋金元时期 B 明清时期 C 新中国成立后 D 战国至晋唐时期 E 鸦片战争以后到新中国成立前 6、被誉为“温热大师”的医家是:() A 王孟英 B 吴又可 C 吴鞠通 D叶天士 E 薛生白 7、温病的病名首见于:() A 《内经》 B 《伤寒论》 C《外台秘要》 D 《肘后备急方》 E 《诸病源候论》 8、第一部温病学专著是:() A 《温病条辨》 B 《伤寒论》 C《温疫论》 D《内经》 E 《温热论》 9.“今夫热病者,皆伤寒之类也。”语出哪部经典著作? ( )A《素问》 B《难经》 C《伤寒论》 D《金匮要略》 E《灵枢》 10.《湿热病篇》的作者是:()A王孟英 B薛生白 C吴鞠通 D吴又可 E叶天士 11提出“温病不得混称伤寒”,从病名、病机、治法等方面提出温病与伤寒不同的医家() A.王叔和B.刘河间 C.王安道 D.王焘E.孙思邈 12明清时期温病学家中创建“卫气营血”辨证体系的是: ( ) A .叶桂 B .刘完素 C .吴瑭 D .薛雪 E .吴又可 13明清时期温病学家中吴瑭的代表作是: ( ) A.《温热论》 B.《湿热条辨》 C.《诸病源候论》 D.《温疫论》 E.《温病条辨》 14.在温病学发展史上创新论、立新法、制新方,使温病在摆脱伤寒体系束缚的道路上向前 推进了一大步的医家是:()

完整版史上最全wordExcel使用技巧大全超全

不收藏不行的史上最全word用法 三招去掉页眉那条横线 1、在页眉中,在格式”-边框和底纹”中设置表格和边框为无”,应用于段落” 2、同上,只是把边框的颜色设置为白色(其实并没有删的,只是看起来没有了,呵呵) 3、在样式”栏里把页眉”换成正文”就行了一一强烈推荐! 会多出--(两个横杠)这是用户不愿看到的,又要多出一步作删除-- 解决方法:替换时在前引号前加上一个空格问题就解决了插入日期和时间的快捷键 Alt+Shift+D :当前日期 Alt+Shift+T :当前时间批量转换全角字符为半角字符 首先全选。然后格式”-更改大小写”,在对话框中先选中半角”,确定即可 Word启动参数简介 单击开始f运行”命令,然后输入Word所在路径及参数确定即可运行,如“a PROGRAM FILES 'MICROSOFT Office \Office 10\ WINWord.EXE /n ”,这些常用的参数及功能如下: /n:启动Word后不创建新的文件。 /a :禁止插件和通用模板自动启动。 /m :禁止自动执行的宏。 /w :启动一个新Word进程,独立与正在运行的Word进程。 /C:启动Word,然后调用Netmeeting。 /q :不显示启动画面。 另外对于常需用到的参数,我们可以在Word的快捷图标上单击鼠标右键,然后在目标”项的路径后

加上该参数即可。 快速打开最后编辑的文档如果你希望Word 在启动时能自动打开你上次编辑的文档,可以用简单的宏命令来完成: (1)选择“工具”菜单中的“宏”菜单项,单击“录制新宏”命令打开“录制宏”对话框; ⑵在录制宏”对话框中,在宏名”输入框中输入“autoexec点击确定” (3)从菜单中选择“文件”,点击最近打开文件列表中显示的第一个文件名;并“停止录制”。保存退出。下次再启动Word 时,它会自动加载你工作的最后一个文档。 格式刷的使用 1、设定好文本1 的格式。 2、将光标放在文本1 处。 3、单击格式刷按钮。 4、选定其它文字(文本2),则文本2 的格式与文本1 一样。 若在第3 步中单击改为双击,则格式刷可无限次使用,直到再次单击格式刷(或按Esc键)为止。 删除网上下载资料的换行符(象这种“4) 在查找框内输入半角八1(是英文状态下的小写L不是数字1),在替换框内不输任何内容,单击全部替换,就把大量换行符删掉啦。 选择性删除文件菜单下的最近使用的文件快捷方式。 工具-选项-常规把列出最近使用文件数改为0”可以全部删除,若要选择性删除,可以按ctrl+Alt+ - 三个键,光标变为一个粗减号后,单击文件,再单击要删除的快捷方式就行了。 建立一个矩形选区: 一般的选区建立可用鼠标左键,或用shift键配合pguP、pgdn、home、end、箭头等 功能键,当复制一个规则的矩形区域时,可先按住Alt 键,然后用鼠标左键来选。我一般用此来删除段首多余的成块的空格。大家试一试"A* 将字体快速改为上标或下标的方法:本人在一次无意间发现了这个方法,选定你要下标的字,然后在英文状态下按住 Ctrl ,再按一下BASKSPACE 旁的+/=的键,就可以了。上标只要在按Ctrl 的同时也按住Shift, 大家可以试试。

初中人教初二地理下册期中考试试卷word版

初中人教初二地理下册期中考试试卷word版 一、选择题 1.黄土高原地区的特色剧种是 A.二人转 B.黄梅戏 C.越剧 D.梆子、秦腔 2.“我家住在黄土高坡,大风从坡上刮过,不管是西北风还是东南风,都是我的歌....”从歌词中,我们可以确定当地的气候属于() A.温带大陆性气候B.亚热带季风气候C.温带季风气候D.温带海洋性气候3.我国有四大地理区域,下列有关玉树所在地理区域地理环境特点的叙述正确的是A.干旱是本区自然环境的主要特征B.人口以哈萨克族为主 C.农作物主要是水稻、棉花等D.是我国长江、黄河等大江大河的发源地4.图是我国局部地区图,有关该地区的描述,正确的是 A.地势中间高,南北低,沃野千里 B.夏季高温多雨,农作物一年两熟 C.是我国提供商品粮最多的粮食生产基地 D.三江平原的湿地应继续开垦,扩大耕地面积 5.列四幅气温曲线和降水量柱状图,符合我国西北地区气候的是 A.B.C. D. 6.北京是世界上著名的文化古城,历史悠久,下列事物中能够反映这一特色的是: ()

A.长城、故宫B.明祖陵、周恩来故居 C.外国大使馆、跨国企业机构D.人民大会堂、中关村 7.关于东北三省地理事物或现象的分布,符合由南向北顺序的是 A.温度带:暖温带、中温带、寒温带 B.平原:松嫩平原、辽河平原、三江平原 C.降水量自南向北递增 D.邻国:朝鲜、俄罗斯、蒙古 8.下列叙述中,正确的是: A.南方地区的河流冬季都有结冰现象。 B.南方地区的主要粮食作物是小麦,北方地区的主要是水稻 C.南方地区熟季为一年两熟到三熟,北方地区一年一熟或两年三熟 D.南方地区和北方地区的农业都以旱作为主地 9.人类活动的明显差异是在哪个基础之上产生的() A.文化环境B.经济环境C.政治环境D.自然环境10.对东北地区的说法,正确的是() A.东北地区地处东北亚的核心位置,战略地位十分重要 B.东北地区与俄罗斯、蒙古、朝鲜为陆上邻国 C.东北地区地形以高原、山地为主,森林资源丰富 D.东北地区属于温带季风气候,冬季寒冷漫长,夏季温暖短暂 11.下列关于长江在长江三角洲地区发展中作用的分析,不正确的是()A.长江为本地区提供灌溉水源,利于农业发展 B.长江为本地区提供便利的水路交通,有利于其对外联系 C.长江为本地区提供丰富的水力资源,有利于重工业的发展 D.长江的泥沙淤积形成滨海平原,利于人们的生产、生活 12.下列不属于东北三省重要的综合性工业中心的是() A.哈尔滨 B.沈阳 C.长春 D.鞍山 13.西北地区发展农业的优势是() ①天然降水较少②夏季气温高③光照强④昼夜温差大 A.①②③ B.①③④ C.①②④ D.②③④ 14.台湾与港澳地区在经济发展上的相同特点是 A.都以博彩业为主B.都以钢铁、机械等重工业为主C.都以农业和农产品加工业为主D.都以出口加工工业为主 15.关于我国区域地理特征叙述正确的是() A.青藏地区突出的自然地理特征是干旱 B.三江源地区被誉为“中华水塔”C.西北地区突出的自然地理特征是高寒 D.港澳地区与大陆联系密切,地广人稀16.环渤海城市群工业发展的优势条件是 A.水资源丰富 B.位于湿润气候区 C.矿产资源丰富 D .水陆交通便利17.下列哪些思想或举措有利于北京古城的保护() A.在市中心大力兴建立交桥、快速环线疏导交通堵塞

大学人工智能期末考试题库

《人工智能与专家系统》试卷(1)参考答案与评分标准 问答题(每题5分,共50分) 1.人工智能是何时、何地、怎样诞生的?(5分) 答:人工智能于1956年夏季在美国达特茅斯(Dartmouth)大学诞生。(3分)1956年夏季,美国的一些从事数学、心理学、计算机科学、信息论和神经学研究的年轻学者,汇聚在Dartmouth大学,举办了一次长达两个月的学术讨论会,认真而热烈地讨论了用机器模拟人类智能的问题。在这次会议上,第一次使用了“人工智能”这一术语,以代表有关机器智能这一研究方向。这是人类历史上第一次人工智能研讨会,标志着人工智能学科的诞生,具有十分重要的意义。(2分) 2.行为主义是人工智能的主要学派之一,它的基本观点是什么?(5分) 答:行为主义,又称进化主义或控制论学派。这种观点认为智能取决于感知和行动(所以被称为行为主义),它不需要知识、不需要表示、不需要推理。其原理是控制论和感知——动作型控制系统。 3.什么是知识表示?在选择知识表示方法时,应该考虑哪几个因素?(5分)答:知识表示是研究用机器表示知识的可行性、有效性的般方法,是一种数据结构与控制结构的统一体,既考虑知识的存储又考虑知识的使用。知识表示实际上就是对人类知识的一种描述,以把人类知识表示成计算机能够处理的数据结构。对知识进行表示的过程就是把知识编码成某种数据结构的过程。(3分)在选择知识表示方法时,应该考虑以下几个因素:(1)能否充分表示相关的领域知识;(2)是否有利于对知识的利用;(3)是否便于知识的组织、维护和管理;(4)是否便于理解和实现。(2分) 4.框架表示法有什么特点?(5分) 答:框架表示法有如下特点:结构性、继承性、自然性。(5分) 5.何谓产生式系统?它由哪几部分组成?(5分) 答:把一组产生式放在一起,让它们相互配合,协同作用,一个产生式生成的结论可以供另一个产生式作为已知事实使用,以求得问题的解,这样的系统称为产生式系统。(2分) 产生式系统一般由三个基本部分组成:规则库、综合数据库和推理机。(3分) 6.产生式系统中,推理机的推理方式有哪几种?请分别解释说明。(5分)答:产生式系统推理机的推理方式有正向推理、反向推理和双向推理三种。 正向推理:正向推理是从己知事实出发,通过规则库求得结果。 反向推理:反向推理是从目标出发,反向使用规则,求证已知的事实。 双向推理:双向推理是既自顶向下又自底向上的推理。推理从两个方向进行, 直至在某个中间界面上两方向结果相符便成功结束;如两方衔接不上,则推理失败。

最新温病学-分章试题-资料大全

最新温病学-分章试题-资料大全 第一章:绪论 A、型题 1.温病学的病名首见于:A A.黄帝内经 B.伤寒论 C.外台秘要 D.时后方 E.诸病源候论 2.首先提出运用辛温发表剂治疗外感病,必须因时、因地、因人而灵活加入寒凉清热等药物的医家是:C A.王安道 B.汪石山 C.朱肱 D.刘河间 E.王叔和 3.创立三焦辨証的医家是:D A.王孟英 B.叶天士 C.余师愚 D.吴鞠通 E.喻嘉言 4.余师愚的代表著作是:B A.广温疫论 B.疫疹–得 C.温疫论 D.伤寒温疫条辨 E.临证指南医案 5.全面发展了温病辨舌、验齿、辨斑疹、白瘩等诊断方法的医家是:B A戴天章 B.叶天士 C.王孟英 D.吴鞠通 E.余师愚 6.对温病的治疗首先强调初起不可纯投辛温,主张应以寒凉为主的医家是:C A.罗天益 B.王安道 C.刘河间 D.朱肱 E.汪石山 7.温病学说形成的时代为:B A.宋到元代 B.明到清代 C.新中国成立后 D.战国到唐代 E.鸦片战争以后到新中国成立前

8.(温热经伟)的作者是:A A.王孟英 B.叶天士 C.吴鞠通 D.薛生白 E.吴又可 9.提出”疠气”病因学说的明代医家是: B A.汪石山 B.吴又可 C.李时珍 D.李中梓 E.王肯堂 10.首先提出温病有新感,有伏气的医家是: B A.王安道 B.郭雍 C.刘河间 D.朱肱 E.罗天益 11.我国医学发展史上第一部温疫专著是: C A.温热论 B.温热经伟 C.温疫论 D.湿热病篇 E.肘后备急方 12.吴鞠通的代表著作是:C A.湿热病篇 B.温热论 C.温病条辨 D.温热经伟 E.温疫论 13.在温病学发展史上,首先提出温病不得混称伤寒的医家是:E A.吴又可 B.吴鞠通 C.刘河间 D.朱肱 E.王安道 14.被誊为”温热大师”的医家是:B A.王孟英 B.叶天士 C.吴鞠通 D.吴又可 E.薛生白 15.金元时期,在热性病治疗上提出新观点,组创新方的医家是: B A.王安道 B.刘河间 C.张从正 D.李东垣 E.朱丹溪 16.在热病治疗上,首先提出以客寒凉清热为主的医家是:B A.朱肱 B.刘河间 C.汪石山 D.吴又可 E.王安道 17..朱肱首先提倡的是:E

四川大学期末考试试题(A卷).doc

四川大学期末考试试题(A卷) (2013——2014 学年第一学期) 课程号:303066030课序号:课程名称:计算机基础及C程序设计语言 任课教师:刘亚梅刘洋任瑞玲曾晓东余勤罗伟王茂宁王忠邓丽华成绩: 适用专业年级:2012级学生人数:印题份数:学号:姓名: 考试须知 四川大学学生参加由学校组织或由学校承办的各级各类考试,必须严格执行《四川大学考试工作管理办法》和《四川大学考场规则》。有考试违纪作弊行为的,一律按照《四川大学学生考试违纪作弊处罚条例》进行处理。 四川大学各级各类考试的监考人员,必须严格执行《四川大学考试工作管理办法》、《四川大学考场规则》和《四川大学监考人员职责》。有违反学校有关规定的,严格按照《四川大学教学事故认定及处理办法》进行处理。 一、单项选择题(每题1.5分,共45分)(注:本题及以下各题均以VC++6.0为软件编程平台) 1.一个C程序总是从_______开始执行的。 A)main函数B)程序的第一行 C)程序的第一个函数D)不固定位置 2.以下对C语言的描述正确的是。 A)函数允许嵌套定义B)编译时不检查语法 C)用户所定义的标识符必须以字母开头D)转义字符以“\”开头 3.下列C语言中运算对象必须是整型的运算符是。 A) %= B) && C) = D) *= 4.若有以下程序段:int c1, c2=3, c3=2; c1=(float)c2/c3;则执行后,c1、c2的值分别是。 A)0,3.0 B) 1,3.0 C) 0,3 D) 1,3 5.下列变量定义中合法的是。 A)short_a=0xda; B)double b=1+5e2.5; C)int a=‘A’; D)float 2_and=1-e-3; 6.若变量已正确定义并赋值,符合C语言语法的表达式是。 A)++(a+1) B)a=7+b/c=a++ C)a=a+7=c+b D)a=’\0’ 7.设int a=1,b=2,c=3,m=4,n=5,p=3;,则执行表达式(a=m10);,则a和b的值分别是。 A)10和1 B)10和0 C)11和0 D)11和1 11.以下4个选项中,不能 ..看作一条语句的是。 A)if (b= =0) m=1;n=2; B)a=0,b=0,c=0; C)if (b==0){m=1;n=2;} D)if (a>0); 注:1试题字迹务必清晰,书写工整。本题8 页,本页为第 1 页

温病期末考试大题名词

1温病学2.温病3伏寒化温4新感温病5.气营两燔6.气血两燔7 湿蒙心包8 身热不扬9 神昏谵语10. 神识昏蒙11开达膜原12. 分利湿邪13 轻法频下14 透热转气15风温16逆传心包17.伏邪自发18. 新感引发19 暑温 简答题 1、对温病的卫气营血治则和三焦治则应如何理解? 3、简述风温与春温的鉴别诊断 4、春温热灼营分、阳明热盛及阳明腑实均可见“谵语”,如何鉴别。 5、试述吴鞠通所创五个加减承气汤的适应症。 6、春温和风温后期均有伤阴之象,其证候表现、治法、方药上有何不同? 7、简述暑温病的发病特点。 8、暑温病后期暑伤心肾的主要病机是什么?如何辨治? 9、如何理解“暑病首用辛凉,继用甘寒,再用酸泄酸敛”? 10、何谓湿温病?湿温病是怎样形成的? 11、简述湿温病的病机有何特点? 12、伏暑病邪结肠腑的病机及证候表现有何特点?应如何治疗?能否用承气汤类攻下?为什么?(两个简答) 论述题 一、如何理解薛生白所说的“中气实则病在阳明,中气虚则病在太阴”?湿温病邪在中焦气分阶段有湿重于热、湿热并重、热重于湿三种病理类型,三者在临床表现上有何共同点?在证候表现及治则、方药上又如何区别?(会选其中一部分来考) 二、热闭心包和湿蒙心包在因脉证治上全面区别、论治? 三、伏暑病暑湿郁阻少阳证应如何治疗?与伤寒小柴胡汤证的证治有何区别? 病例分析题 1.黄某某,男,35岁,工人。1959年1月20日初诊:患者两天前突然发烧、恶寒、咳嗽,咯吐黑色痰涎,右下胸部疼痛,时有鼻衄。查体温39.1℃,咽充血,右下胸背部可闻及少许湿罗音。血化验白血球18.0 ×109/L,中性0.87,淋巴0.10、单核0.03。西医诊断为右下大叶性肺炎,曾用抗菌素等治疗两天,疗效不显,故来中医科就诊。 诊见:发热恶寒,头痛有汗,咳嗽,痰中带血,量不多,右季肋疼痛,咳则加重,口渴喜饮,舌质红,苔薄白,脉象浮数。 请诊断中医病名、证型,并讨论主要病机、治法、适宜方药 2.王某,女,45岁。因发热口苦,胸胁不舒6天,于2001年2月5日就诊。患者于6日前因劳累自觉发热,周身酸楚,测体温39℃,曾服速效感冒胶囊、阿莫西林而未见好转,故来我院就诊。症见:身热不退,口苦而渴,伴心烦,时有恶心,胸胁满闷不舒,不思饮食,小便短赤,舌红苔黄,脉弦数。肝胆B超及化验肝功,未见异常。请作出诊断(病名、证型), 分析病机、治法、方药 3.黄某某,女性,14岁,学生。于1983年2月15日下午,因高热、头痛伴剧烈呕吐1天,由急诊入院。患者于两天前,受凉后出现发热、头痛、微恶寒、口渴、心烦等,在门诊治疗未见好转,今晨起诸症状加重,呕吐频频,由其父送来急诊。接诊时体温40℃,神情烦躁,面色红赤,头痛难忍,汗出湿衣,肌肤斑点,颈项强直,呼吸气粗,口渴欲饮,呕吐时作;查克氏征(±),布氏征(+),脑脊液混浊,血象白细胞总数及中性粒细胞明显增高,舌红苔黄干,脉洪数。 要求:中医诊断、辨证、分析、治则、方药

2018三年级数学期中考试试卷word

阳长镇2018年春季学期三年级数 期中测试试卷 时间:120分钟总分:(100分) 认真读题,谨慎填写。(每空1分,共21分) 1、25的40倍是(),270是9的()倍 2、最大的两位数与最小的两位数的积是()。 3、天安门城楼高350()沪宁高速公路全长274() 一头鲸鱼重150()小明体重30() 4、图书馆每天开放时间是上午8:00~11: 30,下午2:00~6: 30,每天开放( 小时 5、平年全年有()天,闰年全年有()天,2018年是()年 &环形跑道一圈800米,小明跑了5圈,共跑了()千米。 7、填“ <”、“ >”或“=” 4300米O 4千米800 千克O 8吨 4吨20千克O 4200千克9 千米一2000米O 7千米 8、填表

9、李师傅平均每天加工50个零件,二月份最多能加工()个零件.

二、巧思妙断。(对的打“/,错的打“X”。共10分。) 1. 1吨铁与1吨棉花一样重。 2、两位数乘两位数的积一定是四位数 3、晚上9时用24时记时法表示是21时。() 4、所有的大月都是单月,所有的小月都是双月。() 5、小明家离学校500米,他每天上学、回家,一个来回一共要走1千米。( 三、反复比较,精心选择。(每题2分,共10分)。 1?平均每个同学体重25千克,()名同学重1吨。 A 40 B 、4 C 、400 D 、4000 2、下面说法可能正确的是() A 每年都是365天。 B 25个月就是2年多5个月。 C 小明的生日是2月29日 3. 在1964年、1978年、1995年、1996年、2001年、2100年中,闰年有()个。 A 1 B 、2 C 、3 D 、4 4、要使口6X28的积是四位数,□里最小填() A 1 B 、3 C 、4 D 、5 5、与55X 21结果相等的算式是() A 55X20+1 B、55 X 20+55 C、55 X 20+20 D、55 X 20+5 四、计算要细心哦!(30分) 1、直接写出得数。(8分) 20 X 30= 20 X 50= 3000 33 X 20= 75 - 5= 10+3 X20= 72 - 8 X 9= 40 —40 - 4=

大学C期末考试题库

一、单选题: 1. 能作为C++程序的基本单位是( )。 A. 字符 B. 语句 C. 函数 D. 源程序文件 2. 程序中主函数的名字为( )。 A. main B. MAIN C. Main D. 任意标识符 3. 关于C++与C 语言的关系的描述中,( )是错误的。 A. C 语言是C++的一个子集; B. C 语言与C++是兼容的; C. C++对C 语言进行了一些改进; D. C++和C 语言都是面向对象的 4. 可用作C++语言用户标识符的一组标识符是( )。 A. void define +WORD B. a3_b3 _123 YN C. for -abc Case D. 2a DO sizeof 5. 存储以下数据,占用存储字节最多的是( )。 A. 0 B. ‘0’ C. “0” D. 0.0 6. 设int a=12;则执行完语句a+=a*a ;后,a 的值是( )。 A. 12 B. 144 C. 156 D. 288 7. 假设在程序中 a 、b 、c 均被定义成整型,所赋的值都大于1,则下列能正确表示代数式abc 1的表达式是( )。 A. 1.0/a*b*c B. 1/(a*b*c) C. 1/a/b/(float)c D. 1.0/a/b/c 8. 以下说法中正确的是( )。 A. C++程序总是从第一个定义的函数开始执行 B. C++程序总是从main 函数开始执行 C. C++函数必须有返回值,否则不能使用函数 D. C++程序中有调用关系的所有函数必须放在同一个程序文件中 9. 下面有关构造函数的描述中,正确的是( )。 A. 构造函数可以带有返回值 B. 构造函数的名字与类名完全相同 C. 构造函数必须带有参数 D. 构造函数必须定义,不能缺省 10.在声明类时,下面的说法正确的是( )。 A. 可以在类的声明中给数据成员赋初值 B. 数据成员的数据类型可以是register C. private ,public ,protected 可以按任意顺序出现 D. 没有用private ,public ,protected 定义的数据成员是公有成员 11.在下面有关析构函数特征的描述中,正确的是( )。 A. 一个类可以有多个析构函数 B. 析构函数与类名完全相同 C. 析构函数不能指定返回类型 D. 析构函数可以有一个或多个参数 12.构造函数是在( )时被执行的。 A. 程序编译 B. 创建对象 C. 创建类 D. 程序装入内存 13. 下面有关静态成员函数的描述中,正确的是( ) A. 在静态成员函数中可以使用this 指针 B. 在建立对象前,就可以为静态数据成员赋值 C. 静态成员函数在类外定义是,要用static 前缀 D. 静态成员函数只能在类外定义 14.下面有关友员函数的描述中,真确的说法是( ) A. 友员函数是独立于当前类的外部函数

温病学题库(考试学习)

2018年温病学考核题库 1.温病学的病名首见于:A A.黄帝内经 B.伤寒论 C.外台秘要 D.时后方 E.诸病源候论 2.首先提出运用辛温发表剂治疗外感病,必须因时、因地、因人而灵活加入寒凉清热等药物的医家是:C A.王安道 B.汪石山 C.朱肱 D.刘河间 E.王叔和 3.创立三焦辨证的医家是:D A.王孟英 B.叶天士 C.余师愚 D.吴鞠通 E.喻嘉言 5.(温热经伟)的作者是:A A.王孟英 B.叶天士 C.吴鞠通 D.薛生白 E.吴又可 6..我国医学发展史上可称为温病学的奠基专著是:A A.温病论 B.温疫论 C.温热经伟 D.疫病篇 E.温病条辨 7.首创温病卫气营血辨证体系的医家是:C A.吴又可 B.薛生白 C.叶天士 D.吴鞠通 E.陈平伯 8、下列哪一项提法不属于温病基本概念里的内容? D A.以发热为主症 B.热象偏重 C.易化燥伤阴 D.具有传染性 E.由温邪引起的 9.依据发病季节而定名的温病是: B A.风温 B.春温 C.暑温 D.湿温 E.伏暑 10.下列哪一项不属于温病共有的临床表现? D A.起病急骤,传变较快 B.发热为主症,热象偏重 C.易出现险恶证候 D.局部红肿热痛,甚则破溃糜烂 E.病程中易耗伤阴津 11.温病的特异的致病因素是指: B A.风邪 B.温邪 C.湿邪 D.寒邪 E.疟邪 12.根据临麻证候而命名温病是: A A.大头瘟 B.秋燥 C.伏暑 D.春温 E.温疫 13.下列哪一项不属于温病与温疫的区别点: E A.流行的性大小 B.发病的缓急 C.病情的轻重 D.传染的强弱 E.伤阴的程度 14.温病的病因是: D A.风邪 B.寒邪 C.湿邪 D.温邪 E.疟邪 15.不属于温邪范围的病邪是: D A.湿热病邪 B.疠气病邪 C.温毒病邪 D.风寒病邪 E.疟邪 16.风热病邪致病初起先犯: B A.卫气 B.肺卫 C.脾胃 D.阳明 E.心包 17.下列哪项不属风热病邪的致病特点? C A.具有升散疏泄特性 B.先犯上焦肺卫 C.易耗血动血 D.易损伤肺胃阴津 E.易逆传心包 18.以局部红肿疼痛甚至溃烂为主要表现的温病,其病因是: E A.风热病邪 B.暑热病邪 C.湿热病邪 D.燥热病邪 E.温毒病邪 19.温毒病邪致病的特殊征象是: D A.身体壮热 B.口渴苔黄 C.心烦谵语 D.局部红肿热痛 E.脉象洪数

(完整版)Word作业

WORD 练习题 第一题根据下列要求完成下段文本的编排. 1.将标题(居中)下第一段中的“海水”全部改为蓝色、行楷、倾斜、2号字“河水”,并加着重号。 2.将标题文字设置成斜体绿色字。 3.将“海洋里的鱼类品种繁多,……“所在段落设置行距为1.1倍行距,字间距加宽1磅。 4.设置页脚文字为“水中生物“(不包括引号)。 5.为文字“海洋里的鱼类品种繁多,不能一概而论。”设置底纹填充色为黄色、下线、黑体、阳文。 6.以文件名“鱼类需要喝水吗?”存本文于“我的文档” 鱼类需要喝水吗? 由于海水鱼类血液和体液的浓度高于周围的海水,水分就从外界经过鱼鳃半渗透性薄膜的表皮,不断地渗透到鱼体内,因此,海水鱼类不管体内是否需要水分,水总是不间断地渗透进去。所以海水鱼类不仅不需要喝水,而且还经常不断地将体内多余的水分排队出去,否则,鱼体还有被危险。 海洋里的鱼类品种繁多,不能一概而论。虽然,海水浓度高,但极大部分软骨鱼体内血液里,含有比海水浓度更高的尿素,因此,和淡水鱼一样,也不需要喝水。而生活在海洋里的硬骨鱼,则由于周围海水浓度高于体内的浓度,体内失水情况相当严重,需要及时补充水分,因此,海中的硬骨鱼是需要大口大口地喝水。 第二题根据下列要求完成下段文本的编排. 1.录入文字,一次性将各段首行缩进2字符。 2.交换第一段、第二段文字,将正文三、四段合为一段。 3.将句子“含羞草为什么会有这种奇怪的行为?”设置成七彩霓虹的动态效果。 4.将“含羞草的叶子非常有趣,……”所在段落设置段前距为6磅、段后距为8磅,设第一段行中的 双倍行距,第二段行距30磅。 5.设置页脚,页脚文字为“含羞草”三个字(不包括引号)。 6.给文中“含羞草”三字加绿色边框。 7.对正文(不包括红框内的题目部分“添加行号,起始行号为2,其他使用缺省设置。 8.以文档名“含羞草.DOC”保存到桌面。 含羞草是一种叶片会运动的草本植物。身体开头多种多样,有的直立生长,有的爱攀爬到别的植物身上,也有的索性躺在地上向四周蔓生。在它的枝条上长着许多锐利尖刺,绿色的叶片分出3~4张羽片,很像一个害羞的小姑娘,只要碰它一下,叶片很快会合拢起来,仿佛在表示难为情。手碰得轻,叶子合拢得慢;碰得重,合拢得快,有时连整个叶柄都会下垂,但是过一会后,它又会慢慢恢复原状。 含羞草为什么会有这种奇怪的行为?原来它的老家在热带美洲地区,那儿常常有猛烈的狂风暴雨,而含羞草的枝叶又很柔弱,在刮风下雨时将叶片合拢就养活了被摧折的危险。 最近有个科学家在研究中还发现了另外一个原因,他说含羞草合拢叶片是为了保护叶片不被昆虫吃掉,因为当一些昆虫落脚在它的叶片上时,正准备大嚼一顿,而叶片突然关闭,一下子就把毫无准备的昆虫吓跑了。含羞草还可以做药,主要医治失眠、肠胃炎等病症。在所有会运动的植物中,最有趣的是一种印度的跳舞草,它的叶子就像贪玩的孩子,不管是白天还是黑夜,不管是有风还是没风,问题做着舞蹈家在永不疲倦地跳着华尔兹舞。 第三题根据下列要求完成下段文本的编排.

数学期中考试卷Word

数学期中考试卷W o r d Document number【SA80SAB-SAA9SYT-SAATC-SA6UT-SA18】

素质教育20××秋七年级数学期中考试卷 一、填空(每题2分,共24分): (1)若-a=3,则a= . (2)-5的相反数是 。 (3)绝对值为1的数是 。 (4)向西前进200来,记为+200,那么—500来表示 。 (5)一个从数轴是的原点开始,先向左移动3个单位长度,再向右移动8个单位长度,这时它表示的数是 。 (6)比较各组数的大小:87 76 , - - (7)5-(-6)= ,4÷(-)= (8)-23= ,(-2)3= (9)5978023保留4个有效数字为___. (10)用r 表示圆的半径,那么圆的周长是_____. (11)当时a=3时,求a 2+2a+3= (12)下列各式中的两个数这间满足某种“默契”的关系。 (-1)×2 1=(-1)+21,(-2)×32=(-2)+3 2;(-3)×43=(-3)+43;(-4)×54=(-4)+54;请你再找出满足这种”默契”关系的两个数,并用等腰式表示出它们这间满足的关系____________. 二、选择题(每题3分,共24分): 13、精确到万分位的近似数是( ) 班级 座号- 姓名 成绩-

A 、 B 、 C 、 D 、 14、下列各数|-2|,(-2)2,-(-2),(-2)3中,负数的个数这( ) A 、1个 B 、2个 C 、 3个 D 、4个 15、有理数a,b 在数轴上的位置如图所示,化简|a -b|的结果是( ) A 、a -b B 、a+b C 、–a+b D 、-a -b 16、大于-3且小于4的整数共有( ) A 、5个 B 、6个 C 、7个 D 、8个 17、一个两位数,十位数字是a,个位数字是b,把个位数字与十位数字对调一下,所得的两位数为( ) A 、10a+b B 、10b+a C 、a+b D 、ab 18、若a+b<0,ab>0,那么这两个数( ) A 、都是正数 B 、都是负数 C 、一正一负 D 、符号不能确定 19、如果三个连续偶数中最小的数是2n ,那么这三个连续偶数的和是( ) A 、6n B 、6n+3 C 、6n+4 C 、6n+6 20、超市进了一批花布,出售时要在进价的基础上加一定的利润,其售出数量x(米)与售价y(元)的关系如表

大学英语一期末考试题以与答案

大学英语(一) 行政班级分级班级学号 (请将答案写在答题卡上) PartⅠListening Comprehension (15%) 听力题(共 15题,每题1分,共15分) Directions: This part is to test your listening ability. It consists of 3 sections. Section A Directions:There are 5 recorded questions in it. After each question, there is a pause. The question will be spoken only once. 1. A. A testing system. B. A monitor system. C. A measuring system. D. A control system. 2. A. Car prices. B. Car services. C. The company’s business. D. The company’s culture. 3. A. It’s easy to do. B. It’s challenging. C. He can get a high pay. D. He did the same job before. 4. A. She’ll meet a friend. B. She’ll take a flight. C. She’ll attend an interview at 5:00. D. She’ll see a doctor before 5:00. 5. A. She will report the complaint to the manager. B. The manager refused to talk to the man. C. The manager was on a business trip. D. She will deal with the complaint. Section B Directions:There are 2 recorded dialogues in it. After each dialogue, there are some recorded questions. Both the conversations and questions will be spoken two times. Conversation 1

温病学题[1]

【绪论】 复习思考题 1.温病学发展经历的几个阶段?各个阶段有何特征? 2.温病学成长阶段主要医家的学术观点是什么? 3.刘河间和王安道对温病学的发展有哪些贡献? 4.为什么说明清时期是温病学形成阶段? 5.吴又可、叶天士、薛生白、吴鞠通、王孟英各自的代表著作及学术成就有哪些? 【第一章】温病的概念 复习思考题 1.温病病理演变的规律性是什么? 2.温病有哪些特殊的临床表现? 3.试述温病的范围,界定它有何意义? 4. 现代医学疾病中哪些疾病名可归于温病的范围? 5.温病的分类依据有哪些?各有什么临床意义? 6.温病与广义伤寒及狭义伤寒的关系是什么? 7.温病与温疫有什么区别?其意义如何? 8.温毒的病变特点是什么? 【第二章】温病的病因与发病 复习思考题 1.温邪的共性有哪些? 2.风热、暑热、湿热、燥热病邪及温热病邪、疠气各自的致病特点是什么? 3.湿热病邪病变为什么以中焦脾胃为中心? 4.温病发病的三大因素及两种感邪途径是什么? 5.新感温病与伏邪温病如何鉴别? 【第三章】温病的辨证 复习思考题 1.试述温病卫气营血辨证纲领的形成。 2.卫气营血分证的病理特点与辨证要点各是什么? 3.影响卫气营血证候传变的四大因素是什么? 4.试述三焦辨证的病理与辨证要点,在临床上有何意义?【第四章】温病常用诊法 复习思考题 1.温病各种发热类型的表现特征及其病机是什么? 2.温病出现大汗的病机有几种? 3.试述温病各种神志异常的病机及临床表现? 4.实风内动与虚风内动的病因病机、临床表现及治法方药的比较。 5.对温病重症患者血压观测的方法与意义是什么? 6.辨斑疹的临床意义是什么? 7.如何理解“斑为阳明热毒,疹为太阴风热”含义?10.白的形态及其治则是什么? 11.舌苔之薄白而腻、白厚而腻、白厚而干燥、白腻而质红绛、白霉苔及黄白相兼、苔黄腻、苔黑腻的病变机理各是什么? 12.舌质之纯绛鲜泽、镜面舌、绛而不鲜干枯而萎及杨梅舌猪肝舌的病变机理各是什么? 【第五章】温病的治疗 复习思考题 1.如何理解温病祛邪的重要性? 2.如何理解“在卫汗之可也,到气才可清气,入营……散血”与“治上焦如羽,治中焦……不沉”治疗原则的含义?3.如何理解温病扶正的重要性? 4.泄卫透表法、清解气热法、祛湿清热法、通下逐邪法、清营凉血法、滋阴生津法各自的具体治法及其代表方剂是什么? 5.应用泄卫透表法、祛湿清热法、通下逐邪法的注意事项有哪些? 6.泄卫透表法、通下逐邪法及滋阴生津法的现代药理作用有哪些? 7.如何理解温病养阴的重要性? 【第六章】温病的预防 复习思考题 1.试述温病预防思想的现实意义。 2.温病的三大预防方法是什么? 【第七章】温热类温病 复习思考题 1.什么是风温?其病因病机是什么? 2.风温的诊断要点有哪几个方面? 3.风温的治疗原则是什么? 4.春温的概念是什么?其病因病机是什么? 5.春温的诊断要点有哪几个方面? 6.春温的治疗原则是什么? 7.什么是暑温? 8.暑温的诊断要点有哪几个方面? 9.如何理解“暑病首用辛凉,继用甘寒,再用酸泄酸敛”? 10.暑温治则是什么? 11.什么是秋燥? 12.秋燥的诊断要点有哪几个方面? 13.如何理解“上燥治气,中燥增液,下燥治血”? 14.秋燥治则是什么? 15.“风热犯卫”的主症、治法及方药(方名、药物组成及剂量)是什么?

(完整word版)

Unit 1 1. vi.幸免;幸存;生还______________ 2. 【短语】寻找_____________ 3. vt.挑选;选择______________ 4. n.设计;图案;构思vt.设计;计划;构思 _________ 5. adj.奇特的;异样的vt.想象;设想;爱好_________ 11.vt移动;搬开 12. ______________________ 【短语】少于 13. n.怀疑;疑惑vt.怀疑;不信 _______________ 14. prep.值得的;相当于??…的价值; 15. n. 价值;作用adj. [古]值钱的_________ 6. v.装饰;装修_____________ 7. vi.属于;为... 的一员______________ 8. 【短语】属于_____________ 9. 【短语】作为报答;回报______________ 10. 【短语】处于交战状态______________ 1. adj.稀罕的;稀有的;珍贵的_______________ 2. adj.贵重的;有价值的 ______________ 3. n.花瓶;瓶_____________ 4. n.朝代;王朝_____________ 5. vt.使吃惊;惊讶 _____________

6. adj.令人吃惊的 _____________ 7. n.蜜;蜂蜜_____________ 8. n.风格;风度;类型_______________ 9. n.珠宝;宝石_____________ 10. n.艺术家_____________ 11. n.群;组;军队______________ 12. n. 接待;招待会;接收 ____________ 13. adj.木制的 ____________ 1. ____________________ vi. 比赛竞争 2. ____________________________ 【短语】参加;参与 3. 【短语】代表;象征;表示_______________ 4. 【短语】也;又;还______________ 5. vt?做东;主办;招待n.主人_______________ 6. vt.取代;替换;代替______________ l. ____________________________ adj?古代的;古老的 2 . n .竞争者 ____________ 3. n.奖章;勋章;纪念章_______________ 4. adj.巫术的;魔术的;有魔力的 ___________ 16.【短语】拆开_______________ 1 7 . vi .爆炸___________ 18. vi.下沉;沉下______________ 19. 【短语】看重;器重 _____________

相关主题