搜档网
当前位置:搜档网 › 数字电路第四套

数字电路第四套

数字电路第四套
数字电路第四套

中南大学信息院《数字电子技术基础》

期终考试试题(110分钟)(第四套)

一、填空(每题2分,共20分)

1. 如图1所示,A=0时,Y= ;A=1,B=0时,Y= ;

2. C A AB Y +=,Y 的最简与或式为 ;

3. 如图2所示为TTL 的TSL 门电路,EN=0时,Y 为 ,EN=1时,Y= ;

4. 触发器按逻辑功能可分为RSF 、JKF 、 、 和DF ;

5. 四位二进制减法计数器的初始状态为0011,四个CP 脉冲后它的状态为 ;

6. EPROM2864的有 地址输入端,有 数据输出端;

7. 数字系统按组成方式可分为 、 两种;

8. GAL 是 可编程,GAL 中的OLMC 称 ;

9. 四位DAC 的最大输出电压为5V ,当输入数据为0101时,它的输出电压为 V ;

10. 如果一输入电压的最大值为1V ,采用3位ADC 时它的量化阶距为 V 。

二、试分析如图3所示的组合逻辑电路。 (10分) 1. 写出输出逻辑表达式; 2. 化为最简与或式;

3. 列出真值表;

4. 说明逻辑功能。

三、试用一片74LS138辅以与非门设计一个BCD码素数检测电路,要求输入大于1的素数时电

路输出为1,否则输出为0(要有设计过程)。(10分)

四、试画出下列触发器的输出波形(设触发器的初态为0)。(12分)

1.

2.

3.

五、时序PLA电路如图所示:(16分)

1. 写出该时序电路的驱动方程、状态方程、输出方程;

2. 2.画电路的状态转换表;

3. 若X为输入二进制序列10010011,其波形如图所示,画Q1、Q2和Z的波形;

3. 3.说明该电路的功能。

六、试用74LS161设计一计数器完成下列计数循环(10分)

七、如图所示为一跳频信号发生器,其中CB555为555定时器,74LS194为四位双向移位寄存器,74LS160为十进制加法计数器(22分)

1. CB555构成什么功能电路?

2. 当2K 的滑动电阻处于中心位置时,求CP2频率?

3. 当74LS194的状态为0001,画出74LS160的状态转换图,说明它是几进制计数器,并求输出Y 的频率。

4. 已知74LS194工作在循环右移状态,当它的状态为0001,画出74LS194的状态转换图;

5. 5. 试说明电路输出Y 有哪几种输出频率成份?每一频率成份持续多长时间?

中南大学信息学院《数字电子技术基础》

试题(第四套)参考答案

一、一、填空题:

1. 1. Y =0、Y =1 ;

2. 2. C B A +=Y ;

3. 3. 高阻态、A Y =;

4. 4. TF 、T’F ;

5. 5. 1111 ;

6. 6. 13个、8个;

7. 7. 组合逻辑电路、时序逻辑电路 ; 8. 8. 与阵列、输出逻辑宏单元 ; 9. 9. 5/3 ; 10. 10. 1/7 ;

二、

(1) 逻辑表达式

76537421m m m m C m m m m S O +++=+++=

(2)最简与或式: (3) 真值表

(4)逻辑功能为:全加器。 三、

(1) (1) 真值表

(2)逻辑表达式:75327532Y Y Y Y m m m m Y ???=+++= (3)用74LS138和与非门实现如下:

四、

五、(1)驱动方程和状态方程相同:

???????==??==++121111221

2Q Q X D Q Q Q X D Q n n

输出方程:2121Z X Q Q X Q Q =??+??

(2)状态转换图:

(3)电路功能描述:2位不同数码串行检测器,当串行输入的两位数码不同时,输出为“1”,否则,输出为“0”。

六、

七、

(1)(1)多谐振荡器;

(2)

=

+

=

2

ln

)

2

(

1

2

1

C

R

R

f

(3)状态转换图如下;74LS160构成九进制计数器;

MHz

MHz

f

Y3

4

9

12

=

=

(4)74LS194构成电路的状态转换图:

(5)可输出4种频率的信号,它们的频率分别为:4/3MHz、3/2 MHz 、12/7 MHz 、2 MHz ;

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

一位加法器(数电)

《电子技术课程设计报告》题目:一位加法器 学院:工程学院 专业:07级电气工程及其自动化 班级:07级1班23号 姓名:王晓龙 指导教师:李斌李芝兰 2009年12月9 日

目录 1.课程设计目的 (2) 2.课程设计题目描述和要求 (2) 3.1课程设计报告内容 (2) 3.2论述方案的各部分工作原理 (2) 3.3设计方案的图表 (9) 3.4编写设计说明书 (9) 4.总结 (10) 1.课程设计目的 课程设计是培养我们学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.随

着科学技术发展的日新日异,数字电子技术已经成为当今计算机应用中重要的基础领域,在生活中可以说得是无处不在。因此作为二十一世纪的大学来说掌握运用数字电子技术及逻辑电路的开发技术是十分重要的。 (1)了解基本的逻辑门电路。 (1)在实际应用中学会编码器译码器的作用和工作方式。 (1)提高自己的动手动脑能力,将在课堂上学到的知识应用到实际当中。 2.课程设计题目描述和要求 题目:一位加法器 要求:(1)利用基本逻辑门电路和编码器,译码器及计数器完成电路(2)用LED管显示 3.课程设计报告内容 3.1 设计方案的选定与说明; 利用逻辑门电路实现两个二进数相加并求出和的组合线路。键盘输入数字,编码器,逻辑门电路,计数器,译码器驱动器,使其达到一位数加法运算。我设计的数字系统中输入数字,所以需要编码功能的逻辑电路实现编码,因为为一位加法,所以输入为0~9十个按键。通过8421BCD编译,利用基本逻辑门电路实现加法运算,因为没有小数部分运算,无小数点,因此我选用74HC4511译码驱动器连接7段式LED显示管读出结果。 3.2论述方案的各部分工作原理; 编码器部分盘输入逻辑电路就是由编码器组成。图1是用十个按键和门电路组成的8421码编码器,其功能如表1所示,其中S0~S9代表十个按键,即对应十进制数0~9的输入键,它们对应的输出代码正好是8421BCD 码,同时也把它们作为逻辑变量,ABCD 为输出代码(A为最高位),GS为控制使能标志。 对功能表和逻辑电路进行分析,都可得知:①该编码器为输入低电平有效; ②在按下S0~S9中任意一个键时,即输入信号中有一个为有效电平时,GS =1,代表有信号输入,而只有S0~S9均为高电平时GS=0,代表无信号输入,此时的输出代码0000为无效代码。由此解决了前面提出的如何区分两种情况下输出都是全0的问题。优先编码器:允许同时输入两个以上的有效编

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数字电路实验报告——数据选择器

第八次实验报告 实验六 数据选择器 一、实验目的要求 1、 熟悉中规模集成电路数据选择器的工作原理与逻辑功能 2、 掌握数据选择器的应用 二、实验仪器、设备 直流稳压电源、电子电路调试器、T4153、CC4011 三、实验线路、原理框图 (一)数据选择器的基本原理 数据选择器是常用的组合逻辑部件之一,它有若干个输入端,若干个控制输入端及一个输出端。 数据选择器的地址变量一般的选择方式是: (1) 选用逻辑表达式各乘积项中出现次数最多的变量(包括原变量与反变量),以简 化数据输入端的附加电路。 (2) 选择一组具有一定物理意义的量。 (二)T4153的逻辑符号、逻辑功能及管脚排列图 (1)T4153是一个双4选1数据选择器,其逻辑符号如图1: 图1 (2) T4153的功能表如下表 其中D0、D1、D2、D3为4个数据输入端;Y 为输出端;S 是使能端,在S 是使能端,在 原SJ 符号

S =0时使能,在S =1时Y=0;A1、A0是器件中两个选择器公用的地址输入端。该器件的 逻辑表达式为: Y=S (1A 0A 0D +101D A A +201D A A +301A A A ) (3) T4153的管脚排列图如图2 图2 (三)利用T4153四选一数据选择器设计一个一位二进制全减器的实验原理和实验线路 (1)一位二进制全减器的逻辑功能表见下表: n D =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C n C =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C =n A n B 1-n C +n A n B +n A n B 1-n C (3)根据全减器的逻辑功能表设计出的实验线路图为图3: S 11D 3 1D 2 1D 1 1D 0 1Y

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

数字电路加法运算电路

1 设计任务描述 1.1设计题目:加法运算电路 1.2 设计要求 1.2.1 设计目的 (1) 掌握1位十进制加法运算电路的构成,原理和设计原理; (2)熟悉集成电路的使用方法。 1.2.2 基本要求 (1) 设计键盘以及编码电路; (2) 设计加数寄存器A和被加数寄存器B单元; (3) 实现4bit二进制码加法的BCD调整; (3) 用数码管以十进制形式显示最后运算结果。 1.2.3 发挥部分 (1) 拓展十进制减法; (2) MR存储运算中间值; (3)其他。

2 设计思路 根据此次课程设计的要求,我设计的简单计算器包括两大部分:加法计算部分,减法计算部分。其中加法计算部分由五个部分组成,键盘及编码电路、加数寄存器A和被加数寄存器B、加法运算电路、4bit二进制码加法的BCD调整和译码显示器。减法计算部分和加法计算部分共用同一个键盘,其他部分由反相器,求补逻辑电路以及相应的译码显示器组成。其中有几个难点:如何实现2位十进制和怎样利用寄存器把数据传输到加法器中。 因为经键盘及编码器输出的是2进制数,那么寄存器接受并输出的数据也是2进制数,所以加法器输出的数据应是8421BCD码,使显示装置最终显示十进制数。因为1位十进制数的8421BCD码与二进制数表现形式相同,但2位十进制数的8421BCD码与二进制数不同,所以我设计的加法运算装置是由两个74S283N芯片来实现2位十进制数的输出。原理是让第二个芯片的一个输入端接第一个芯片的输出端,另一个输入端进行对第一个芯片的运算结果进行判断,大于等于10时输入6即2进制数的0110,反之输入0。输出结果即为2位十进制数的8421BCD码。 寄存器的设计是由一个74LS374N芯片和两个74S194N芯片组成的,其中两个74S194N芯片并联后与74LS374N芯片串联。74LS374N芯片的脉冲由键盘的数字键提供,使得按下数字键后该寄存器就存储输入的数字,并通过译码显示器显示。两个74S194N芯片的脉冲分别由键盘的+号和=号提供,分别存储加数与被加数并输入到第一个74S283N芯片中运算。最后通过译码显示器显示运算结果。 当对应数字的开关被按下后,译码器显示数字同时将数字存到寄存器中。然后经过加法运算,对运算结果进行BCD调整,最后显示运算结果。 1 键盘及编码电路:用开关及电阻组成键盘部分,用10-4线BCD优先编码器74147及四个非门组成编码电路,实现将0-9转化成二进制数。 2 加数寄存器A和被加数寄存器B:由4位并行寄存器74LS175实现对数据的存储,用2个4双向模拟开关4066、开关及非门判断是将二进制数存储到加数寄存器A还是被加数寄存器B。 3 加法运算电路:用集成4位超前进位加法器74HC283对加数和被加数进行运算。 4 4bit二进制码加法的BCD 调整:用4位数值比较器74HC85对和进行比较、控制加法器是加0还是加6从而达到调整的目的。 5 译码显示器:将8421BCD码通过译码显示器转化成十进制数并显示出来。

电子线路基础数字电路实验4 数据选择器

实验四数据选择器 一、实验目的 1. 熟悉中规模集成数据选择器的逻辑功能及测试方法。 2. 学习用集成数据选择器进行逻辑设计。 二、实验原理 数据选择器是常用的组合逻辑部件之一。它由组合逻辑电路对数字信号进行控制来完成较复杂的逻辑功能。它有若干个数据输入端D0、D1、…,若干个控制输入端A0、A1、…和一个输出端Y0。在控制输入端加上适当的信号,即可从多个输入数据源中将所需的数据信号选择出来,送到输出端。使用时也可以在控制输入端上加上一组二进制编码程序的信号,使电路按要求输出一串信号,所以它也是一种可编程序的逻辑部件。 中规模集成芯片74LS153为双四选一数据选择器,引脚排列如图7—1所示,其中D0,D1,D2,D3为四个数据输入端,Y为输出端,A1,A2为控制输入端(或称地址端)同时控制两个四选一数据选择器的工作,G为工作状态选择端(或称使能端)。74LS153的逻辑功能如表7—1所示,当1 =G G时电路不工作,此 1= 2 ) ( 时无论A1、A0处于什么状态,输出Y总为零,即禁止所有数据输出,当( =G G时,电路正常工作,被选择的数据送到输出端,如A1A0=01,则选1= ) 2 中数据D1输出。 图7—1 图7—2 表7—1

当G =0时,74LS153的逻辑表达式为 中规模集成芯片74LS151为八选一数据选择器,引脚排列如图7—2所示。其中D 0—D 7为数据输入端,)(Y Y 为输出端,A 2、A 1、A 0为地址端,74LS151的逻辑功能如表7—2所示。逻辑表达式为 数据选择器是一种通用性很强的中规模集成电路,除了能传递数据外,还可用它设计成数码比较器,变并行码为串行及组成函数发生器。本实验内容为用数据选择器设计函数发生器。 用数据选择器可以产生任意组合的逻辑函数,因而用数据选择器构成函数发生器方法简便,线路简单。对于任何给定的三输入变量逻辑函数均可用四选一数据选择器来实现,同时对于四输入变量逻辑函数可以用八选一数据选择器来实现。应当指出,数据选择器实现逻辑函数时,要求逻辑函数式变换成最小项表达式,因此,对函数化简是没有意义的。 表7—2 例:用八选一数据选择器实现逻辑函数 CA BC AB F +== D A A D A A D A A D A A Y 3 1 2 1 1 1 1 +++= D A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A Y 7 2 6 1 2 5 1 2 4 1 2 3 1 2 2 1 2 1 1 2 1 2 +++ ++++=

数字电路 门电路教案

《数字电子技术》课程 门电路 1)二,三极管的开关特性:BJT:b控制c,e之间的通或断,I B 饱和或截止 FET:G控制d,s之间的导通 u GS D,S 恒流或夹断 2)简单门电路:二极管:与,或 三极管:非门 与非,或非门 3)集成门电路: TTL门电路:反相器,静动态特性, 其它TTL门:与非门,或非门,异或,与或非门,OC,TS门 CMOS门电路:反相器 其它门:OD,TS,TG门 注意:各种门电路的工作原理,只要求一般掌握;而各种门电路的外部特性和应用是要求重点。 概述 门电路:实现基本逻辑运算和复合逻辑运算的单元电路。 门电路的两种输入,输出电平:高电平、低电平。它们分别对应逻辑电路的1,0状态。 正逻辑:1代表高电平;0代表低电平。 负逻辑:0代表高电平;1代表低电平。

+ u i R L - + u o - D 开关电路 授 课 内 容 及 过 程 当代门电路(所有数字电路)均已集成化。 根据制造工艺不同可分为单极型和双极型两大类。 门电路中晶体管均工作在开关状态。 首先介绍晶体管和场效应管的开关特性。 然后介绍两类门电路。 注意:各种门电路的工作原理,只要求一般掌握; 而各种门电路的外部特性和应用是要求重点。 半导体二极管门电路 一、二极管的开关特性 1.开关电路举例 2.静态特性 输入信号慢变化时的特性。 ? 伏安特性 ? 等效电路 在数字电路中重点在判断二极管开关状态, 因此必须把特性曲线简化。(见右侧电路图) 15分钟 20分钟

授课内容及过程有三种简化方法: 3.动态特性 输入信号快变化时的特性。 当外加电压突然由正向变为反向时,二极 管会短时间导通。 这段时间用t re表示,称为反向恢复时间。 它是由于二极管正向导通时PN结两侧的 多数载流子扩散到对方形成电荷存储引起的。 10分钟 10分钟

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电路实验

数字电路实验 实验要求: 1. 遵守实验室规则,注意人身和仪器设备的安全。 2. 预习并按规范写好预习报告,否则不能参加实验。 3. 进入实验室后保持安静,对号入座, 4. 将预习报告置于实验桌右上角,待指导教师检查。 5. 完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告等综合决定。 实验报告内容要求 1. 实验名称、实验者姓名、实验时间地点和指导教师等。 2. 实验目的与要求。 3. 实验用仪器仪表的名称和型号。 4. 实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5. 实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6. 实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7. 实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8. 参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL与非门参数测试及使用 一、实验目的 1、学习TTL和CMOS门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的 电平差异。 2、通过测试TTL与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括U OL、U OH、U ON、U OFF、U TH、U NL、U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、TTL与CMOS门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试TTL与非门74LS00和CM0S或非门CC4001逻辑功能。 (1)识别72LS00和CC4001的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 (3)测试它们的真值表,要求纪录输入高低电平(U IL、U IH)和输出高低电平(U OL、U OH)。 (4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试TTL与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制TTL门的传输特性曲线,并根据曲线标出U ON、U OFF、U TH及U NL、U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

利用全加器电路创建四位二进制加法器

一.课程设计的目的: 1、学习并了解MATLAB软件。 2、尝试用Simulink建模。 3、实现对数字电路的防真设计。 4、利用全加器电路创建四位二进制加法器。 二.课程设计题目描述及要求: 利用所学的数字电路的基本知识和MUTLAB软件中Simulink的应用学习,完成对数字电路的仿真设计。用各种各样的组合逻辑电路设计全加器,输出曲线,再利用全加器设计电路创建四位二进制加法器电路图,给出输出。 三.MATLAB软件简介: MATLAB是MathWorks公司于1984年推出的一套高性能的数值计算可视化软件,集数值分析、矩阵运算、信号处理和图形显示于一体。MATLAB是由Matrix 和Laboratory单词的前三个字母组合而成的,其含义是矩阵实验室。 Simulink是MATLAB最重要的组件之一,是实现动态系统建模、仿真的一个集成环境。它支持线性和非线性系统,连续时间、离散时间,或者两者的相结合的仿真,而且系统是多进程的。Simulink是从底层开发的一个完整的仿真环境和图形界面,它把MATLAB的许多功能都设计成一个个直观的功能模块,把需要的功能模块连接起来就可以实现所需要的仿真功能。Simulink仿真应用于数字电路、数字信号处理、通信仿真、电力系统仿真、宇航仿真等领域。由于数字系统中高低电平分别用0和1表示,因此数字电路问题往往可以转化为一个数字上的逻辑问题。MATLAB提供了逻辑运算模块和各种触发器模块,可以方便的进行数字电路的设计和仿真。借助于组合电路仿真常用模块Logic and Bit Operations子库中的Local Operator模块,将其拖到所建的untitled窗口中,然后鼠标左键双击该模块弹出的Block Parameters/Logical Operator对话框,按Operator栏后的黑三角来选择所需要的门电路标识符,如:AND、OR、NAND、NOR、XOR、NOT中的一个,并依次设置所需的输入、输出端子个数,之后按OK 键确定。利用这些基本门电路组成加法器逻辑电路。 四.课程设计的内容: 1、1位全加器的设计。 所谓全加器,就是带进位输入和进位输出的加法器。1位全加器有3个输入,分别是加

数字电路实验_数字显示电路

数字显示电路 ——组合电路综合设计

一.实验目的 数字显示电路实验将传统的4个分离的基本实验,即基本门实验,编码器、显示译码器、7段显示器实验,加法器实验和比较器实验综合为—个完整的设计型的组合电路综合实验。通过本实验,要求学生熟悉各种常用MSI组合逻辑电路的功能与使用方法,学会组装和调试各种MSI组合逻辑电路,掌握多片MSI、SSI组合逻辑电路的级联、功能扩展及综合设计技术,使学生具有数字系统外围电路、接口电路方面的综合设计能力。 1)掌握基本门电路的应用,了解用简单门电路实现控制逻辑的方法。 2)掌握编码、译码和显示电路的设计方法。 3)掌握用全加器、比较器电路的设计方法。 二.设计要求 操作面板左侧有16个按键,编号为0到15,面板右侧配2个共阳7段显示器,操作面板图下图所示。

设计一个电路:当按下小于10的按键后,右侧低位7段显示器显示数字,左侧7段显示器显示0;当按下大于9的按键后,右侧低位7段显示器显示个位数字,左侧7段显示器显示l。若同时按下几个按键,优先级别的顺序是15到0。现配备1个4位二进制加法器74LS283,2个8线-3线优先编码器74LSl48,2个74LS47显示译码器。 三.各模块的设计 该数字显示电路为组合逻辑电路,可分为编码、译码和显示电路以及基本门电路、全加器电路。实验采用的主要器件有1个4位二进制加法器74LS283,2个8线-3线优先编码器74LSl48,与非门74LS00,2个显示译码器74LS47。 各种芯片的功能介绍如下: 1)8—3线优先编码器74LSl48简介及工作原理:

在数字系统中,常采用多位二进制数码的组合对具有某种特定含义的信号进行编码。完成编码功能的逻辑部件称为编码器。编码器有若干个输入,对于每一个有效的输入信号,给与电平信号的形式表示的特定对象,产生惟一的一组二进制代码与之对应。 按照编码信号的特点和要求,编码器分为3类。即二进制编码器,可用与非门构成4-2线、8-3线编码器。二—十进制编码器,将0~9十进制数变成BCD 码,如74LS147、优先编码器。 74LS148是8-3线优先编码器,其外引线排列如下图所示。 7I ~0I 为 8个信号输入,低电平有效。210Y Y Y 、 、为3位代码输出(反码输出)。ST 为选通输入端,当ST =0时允许编码;当ST =1时输出210 Y Y Y 、、和EX S Y Y 、 被封锁,编码被禁止。S Y 是选通输出端,级联应用时,高位片的S Y 端与低位片的ST 端相连接,可以扩展优先编码功能。EX Y 为优先扩展输出端,级联应用时可作为输出位的扩展端。

数电实验三加法器

实验三一.实验目的 1.掌握全加器的工作原理与逻辑功能。 2.掌握全加器的应用。 二.实验设备及器材 数字电路实验箱稳压电源 74LS00 CD4008B 三.实验原理 全加器是中规模组合逻辑器件,它实现二进制数码的加法运算。 表1 全加器真值表 CD4008B为四位加法器,其逻辑符号如图2,A3、A2、A1、A0和B3、B2、B1、B0为两个四位二进制数,CI为进位输入端,CO为进位输出端。 图2

全加器主要用于数值运算,i位全加器可以实现两个i位二进制数的加法运算。另外,全加器也可以实现组合逻辑函数,如用全加器实现四位二进制数向BCD 码的转换。 四.实验内容 1.按照全加器真值表,利用逻辑电平产生电路及逻辑电平指示电路验证CD4008B的逻辑功能,画出测试电路图。 A3、A2、A1、A0和B3、B2、B1、B0为两个四位二进制数:加数和被加数,CIN为进位输入,S3、S2、S1、S0为输出的和,CON为进位输出端。 2.连接 B/BCD码转换电路,验证其实验结果是否与真值表一致。 二进制码转换为BCD码时,9以前即0000—1001,二进制数B和BCD码二者相等。但九以后,即1010—1111,需要给B加6(0110)才能和BCD码在数值上相等。因此利用四位全加器实现转换时,以四位二进制数作为被加数,而加数在四位二进制数为0000—1001时为0000,为1001—1111时为0110,这样就可实现

B/BCD 的转换。 图3 B/BCD码转换电路 验证得其实验结果与真值表一致 3.设计电路,完成1位十进制数的相加运算,使实现7+9=,6+4=,和3+2=,并用数码管显示电路。 可得图四真值表: 加数二进制 码被加数二进 制数码 二进制的和十进制的和进位 0010 0011 0101 5 0 0100 0110 1010 10 0 0111 1001 0000 16 1 图四真值表 实验结果:数码管显示电路图如下

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固与加深在课程教学中所学到的 知识与实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路 的设计与实验能力,为今后从事生产与科研工作打下一定的基础。为毕业设计与今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试与维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法就是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路 图。 1.总体方案的选择 设计电路的第一步就就是选择总体方案。所谓总体方案就是根据所 提出的任务、要求与性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求与技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求与条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性与优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分 析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求与已选定的总体方案的原理框图,确定对各单元电路 的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元 电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电 路结构、降低成本。

东南大学数字电路实验第4章时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

数字电路实验心得体会(精选3篇)

数字电路实验心得体会(精选3篇)数字电路实验心得体会(精选3篇) 数字电路实验心得体会(精选3篇) 数字电路实验心得体会一:数字电路实验心得在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。

数字电路实验心得体会二:数电实验心得(903字)数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们就会学的头疼,如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在数字电子技术实验中,我们可以根据所给的实验仪器、实验原理和一些条件要求,设计实验方案、实验步骤,画出实验电路图,然后进行测量,得出结果。 在数字电子技术实验的过程中,我们也遇到了各种各样的问题,针对出现的问题我们会采取相应的措施去解决,比如: 1、线路不通——运用逻辑笔去检查导线是否可用; 2、芯片损坏——运用芯片检测仪器检测芯片是否正常可用以及它的类型; 3、在一些实验中会使用到示波器,这就要求我们能够正确、熟悉地使用示波器,通过学习我们学会了如何调节仪器使波形便于观察,如何在示波器上读出相关参数,如在最后的考试实验《555时基电路及其应用》中,我们能够读出多谐振荡器的Tpl、Tph和单稳态触发器的暂态时间Tw,还有有时是因为接入线的问题,此时可以通过换用原装线来解决。 同时,我们也得到了不少经验教训: 1、当实验过程中若遇到问题,不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。

相关主题