搜档网
当前位置:搜档网 › 计算机组成原理(A卷)

计算机组成原理(A卷)

计算机组成原理(A卷)
计算机组成原理(A卷)

C、分布式

D、计数器定时查询方式

7. 采用周期挪用DMA方式传递数据时,需要挪用一个或几个()时间。

A.指令周期 B.时钟周期

C.存储周期 D.机器周期

8. CACHE的块替换全部由()实现。

A. 操作系统

B. 系统软件

C.硬件

D.固件

9.下列各数最小的数是()。

A.(100101)2 B.(53)8C.(42)10 D. (38)16 10.主存储器的任一数据块均可映射到Cache的任一行,这种映射方式是()。

A.直接映射 B.全相联映射 C.组相联映射 D,以上都不是

二、填空题(每空1分,共20分,请将正确答案填入括弧里)

1. 在我们学习过的机器码中,除了原码和反码以外,还有()码和()码,其中,最后一种仅仅用于表示浮点数的阶码。

2. 根据存储介质不同,CACHE-主存-外存三级存储层次中,CACHE采用的是静态随机存储器,即SRAM,那么主存采用()存储器,外存以()存储器为主。

3. 微指令格式有两种,一种是()型微指令,另一种是()型微指令。Array

4. 微程序控制器中,若控制存储器的容量为128*40位,那么可以确定微指令字长是()位,微地址()位。

5. 某cache有32行,采用4路组相联映射方式。主存有2K个块,每块32个字。则主存地址()位,其中标记()位。

6. 某页式虚拟存储管理,页面大小为8KB,逻辑空间包含16页,物理空间包含4页,则逻辑地址()位,主存物理空间为()。7.总线按所传送的信息不同,可分为数据总线、()和()三种。

8.外围设备的编址方式有()和()两种。9.DMA方式只是在数据交换的过程由()实现,但仍需()的介入

10. 衡量总线传输性能的指标是带宽,它定义为总线本身所能达到的最

高(),其单位是()。

三、计算题(共40分)

1、将+35/64表示为IEEE754标准的32位浮点规格化数,并将结果转换

成十六进制形式。【5分】

2、如果显示器的分辨率为1024*1024,彩色度为3B,帧频(刷新速率)

为72HZ。计算刷新存储器的带宽为多少?【5分】

3、某异步串行接口的字符传输率是960字符/秒。设字符采用7位ASCII

码传送,并带有1位起始位、1位校验位和1位停止位,求该串行接口的波特数和位时间是多少?【10分】

4. 有一个4级指令流水线分别完成取指、指令译码、运算、结果写回四步操作,假设完成各步骤的操作时间均为60ns,则当该流水线连续执行20条指令时,请计算下列指标:(1)该流水线加速比;(2)该流水线的吞吐率。【10分】

5. 某计算机系统中内存由CACHE和主存构成,CPU执行一段程序时,cache完成存取的次数为2760次,主存完成存取的次数为240次,已知CACHE的存取周期为40ns,主存的访问周期为200ns,请计算CACHE/主存层次的:(1)平均访问时间;(2)效率。【10分】

四、综合应用题(共20分)

1. 【10分】下图为某8位机的主存空间构成示意图,其中RAM为8K*8的随机存储器,ROM位8K*8位的只读存储器。仔细分析该图,并按要求答题(说明:/WE作用于两块RAM模块)。

(1)地址寄存器AR中低n位中的n是多少?

(2)该存储器最大空间有多少?有待构成的空间有多少?

(3)图中构成的地址空间分布是怎样的?画出地址空间分布图。

AR

2.【10分】某模型机的主要部件如下。其中,M为主存储器,DR为数据缓冲寄存器,AR为地址寄存器,IR是指令寄存器,PC是程序计数器,X和Y是暂存器,ALU为多功能运算单元,R0和R1是通用寄存器。要求:

(1)补充各部件之间的连接线构成完整的数据通路,并标明数据流动方向;

(2)画出“SUB R0,[R1]”的指令周期流程图。该指令的含义是:将寄存器R0中的数据减去以寄存器R1中内容为地址的存储单元中的数

据,结果写入R0中。

计算机组成原理试卷A卷答案

华侨大学2012—2013学年第一学期期末考试 《计算机组成原理》考试试卷(A卷) 学院课程名称考试日期 姓名专业学号 一、选择题(本大题共15小题,每小题2分,共30分)) 1、完整的计算机系统应包括_______。 A.CPU和主存B.外部设备和主机 C.主机和实用程序D.配套的硬件系统和软件系统 2、有些计算机将一部分软件永恒地存于只读存储器中,称之为_______。 A.硬件B.软件C.固件D.辅助存储器 3、冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是_______。 A.指令操作码的译码结果B.指令和数据的寻址方式 C.指令周期的不同阶段D.指令和数据所在的存储单元 4、浮点数加减运算过程一般包括对阶、尾数运算、规格化、舍入和溢出判断等步骤。设浮点数的阶码和尾数都采用补码表示,且位数分别为5位和7位(均含2位符号位)。若有两个数X=27*29/32、Y=25*5/8,则浮点加法计算X+Y的最终结果是_____。 A.00111 1100010 B.00101 0001000 C.01000 0010001 D.发生溢出 5、下列关于RISC的叙述中,错误的是_______。 A.RISC普遍采用微程序控制器 B.RISC大多数指令在一个时钟周期内完成 C.RISC的内部通用寄存器数量相对CISC多 D.RISC的指令数、寻址方式和指令格式种类相对CISC少 6、在微机中,系统日期、硬盘参数与计算机配置信息等均存储在_______。 A.Cache B.ROM C.EPROM D.CMOS 7、相对于微程序控制器,硬布线控制器的特点是_______。 A.指令执行速度慢,指令功能的修改和扩展容易 B.指令执行速度慢,指令功能的修改和扩展困难 C.指令执行速度快,指令功能的修改和扩展容易 D.指令执行速度快,指令功能的修改和扩展困难 8、下列有关RAM和ROM的叙述中,正确的是_______。 ① RAM是易失性存储器,ROM是非易失性存储器 ② RAM和ROM都是采用随机存取方式进行信息访问 ③ RAM和ROM都可用做Cache ④ RAM和ROM都需要进行刷新 A.仅①②B.仅②③C.仅①②③D.仅②③④

2019年《计算机组成原理》试卷一

《计算机组成原理》试卷一 一.选择题(每小题1分,共20分) 1. 我国在______年研制成功了第一台电子数字计算机,第一台晶体管数字计算机于 ______年完成。b5E2RGbCAP A. 1946,1958 B. 1950,1968 C. 1958,1961 D. 1959,1965 2. 目前大多数集成电路生产中,所采用的基本材料为______。 A. 单晶硅 B. 非晶硅 C. 锑化钼 D. 硫化镉 3. 下列数中最大的数是______。 A. (100110001)2 B. (227)8 C. (98)16 D. (152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 用32位字长(其中1位符号位)表示定点小数时,所能表示的数值范围是______。 A. 0≤│N|≤1-2-32 B. 0≤│N|≤1-2-31p1EanqFDPw C. 0≤│N|≤1-2-30 D. 0≤│N|≤1-2-29DXDiTa9E3d 6. 定点运算器用来进行______。 A. 十进制数加法运算 B. 定点数运算 C. 浮点数运算 D. 即进行定点数运算也进行浮点数运算 7. 某SRAM芯片,其存储容量为64ⅹ16位,该芯片的地址线和数据线数目为______。 A. 64,16 B. 16,64 C. 64,8 D. 16,6RTCrpUDGiT 8. 闪速存储器称为______。 A. 光盘 B. 固态盘 C. 硬盘 D. 软盘 9. 二地址指令中,操作数的物理位置不可安排在______。 A. 栈顶和次栈顶 B. 两个主存单元 C. 一个主存和一个寄存器 D. 两个寄存器 10. 堆栈寻址方式中,设A为累加寄存器,SP为堆栈指示器,Msp为SP 指示器的栈顶单元,如果操作的动作是:(A)→Msp,(SP)-1→SP,那么出栈操作的动作为: A. (Msp)→A,(SP)+1→SP B. (SP)+1→SP,(Msp)→A C. (SP)-1→SP,(Msp)→A D. (Msp)→A,(SP)-1→SP 11. 中央处理器(CPU)是指______。 A. 运算器 B. 控制器 C. 运算器. 控制器和cache D. 运算器、控制器和主存储器 12. 指令寄存器的作用是______。 A. 保存当前指令的地址 B. 保存当前正在执行的指令 C. 保存下一条指令 D. 保存上一条指令 13. 下面描述的RISC机器基本概念中正确的表达是______。 A. RISC机器不一定是流水CPU B. RISC机器一定是流水CPU C. RISC机器有复杂的指令系统

计算机组成原理试题及答案

2. (2000)10化成十六进制数是______。 A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7F0)16 3. 下列数中最大的数是______。 A.(10011001)2 B.(227)8 C.(98)16 D.(152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是______。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 6. 下列有关运算器的描述中,______是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 7. EPROM是指______。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 8. Intel80486是32位微处理器,Pentium是______位微处理器。 A.16B.32C.48D.64 9. 设[X]补=1.x1x2x3x4,当满足______时,X > -1/2成立。 A.x1必须为1,x2x3x4至少有一个为1 B.x1必须为1,x2x3x4任意 C.x1必须为0,x2x3x4至少有一个为1 D.x1必须为0,x2x3x4任意 10. CPU主要包括______。 A.控制器 B.控制器、运算器、cache C.运算器和主存 D.控制器、ALU和主存 11. 信息只用一条传输线,且采用脉冲传输的方式称为______。 A.串行传输 B.并行传输 C.并串行传输 D.分时传输 12. 以下四种类型指令中,执行时间最长的是______。 A. RR型 B. RS型 C. SS型 D.程序控制指令 13. 下列______属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D.文本处理 14. 在主存和CPU之间增加cache存储器的目的是______。 A. 增加内存容量 B. 提高内存可靠性 C. 解决CPU和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。 A. SRAM B. 闪速存储器 C. cache D.辅助存储器 16. 设变址寄存器为X,形式地址为D,(X)表示寄存器X的内容,这种寻址方式的有效地址为______。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为______。 1

计算机组成原理第四版课后习题答案完整版

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的; 数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。 两者主要区别见P1 表1.1。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。 分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。

3.数字计算机有那些主要应用? (略) 4.冯. 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯. 诺依曼型计算机的主要设计思想是:存储程序和程序控制。 存储程序:将解题的程序(指令序列)存放到存储器中; 程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB、MB、GB来度量,存储容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。 单元地址:单元地址简称地址,在存储器中每个存储单

元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。 指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。 程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的 信息即为数据信息。 8.什么是内存?什么是外存?什么是CPU?什么是适配器?简述其功能。

计算机组成原理a卷

试卷A 一.选择题(每小题1分,共10分) 1.用于科学计算的计算机中,标志系统性能的主要参数是__________。 A 主时钟频率 B 主存容量 C MFLOPS D MIPS 2.在规格化浮点数表示中,保持其它方面不变,将阶码部分的移码表示改为 补码表示,将会使数的表示范围__________。 A 增大B减少 C 不变 D 以上三种都不对 3.两补码数相加,采用1位符号位,当__________时,表示结果溢出。 A 符号位有进位 B 符号位进位和最高数位进位异或结果为0 C 符号位为1 D 符号位进位和最高数位进位异或结果为1 4.若动态RAM每毫秒必须刷新100次,每次刷新需100ns,一个存储周期 需要200ns,则刷新占存储器总操作时间的百分比是____________. A 0.5% B 1.5% C 1% D 2% 5.在计算机系统中,表征系统运行状态的部件是____________. A 程序计数器 B 累加寄存器 C 中断寄存器 D 程序状态字 6.具有自同步能力的记录方式是___。 A NRZ B NRZ1 C FM D RZ 7.冯〃诺依曼(V on Neumann)机工作方式的基本特点是。 A 多指令流单数据流 B 按地址访问并顺序执行指令 C 堆栈操作 D 存储器按内容选择地址 8.磁盘是一种以方式存取数据的存储设备。 A 随机存取 B 顺序存取 C 直接存取 D 只读存取 9.掉电后所存信息不会丢失的是。 A SRAM B DRAM C VRAM D EPROM 10.加法器采用先行进位的目的是。 A 提高加法器速度 B 简化加法器设计 C 优化加法器结构 D 增强加法器功能 二、填空题(每空1分,共20分) 1.在可变长度的指令系统的设计中,到底用何种扩展方法有一个重要的原则,就是的指令应分配短的操作码。 2.计算机中的数据可分为和两大类。 3. RISC指令系统的最大的特点是:固定,

计算机组成原理试题及答案 (1)#精选.

计算机组成原理试题及答案 一、填空(12分) 1.某浮点数基值为2,阶符1位,阶码3位,数符1位,尾数7位,阶码 和尾数均用补码表示,尾数采用规格化形式,用十进制数写出它所能表示的最大正数,非0最小正数,最大负数,最小负数。 2.变址寻址和基址寻址的区别是:在基址寻址中,基址寄存器提 供,指令提供;而在变址寻址中,变址寄存器提供,指令提供。 3.影响流水线性能的因素主要反映在和 两个方面。 4.设机器数字长为16位(含1位符号位)。若1次移位需10ns,一次加 法需10ns,则补码除法需时间,补码BOOTH算法最多需要时间。 5.CPU从主存取出一条指令并执行该指令的时间 叫,它通常包含若干个,而后者又包含若干个。组成多级时序系统。 二、名词解释(8分) 1.微程序控制 2.存储器带宽 3.RISC 4.中断隐指令及功能

三、简答(18分) 1. 完整的总线传输周期包括哪几个阶段?简要叙述每个阶段的工作。 2. 设主存容量为1MB,Cache容量为16KB,每字块有16个字,每字32位。 (1)若Cache采用直接相联映像,求出主存地址字段中各段的位数。 (2)若Cache采用四路组相联映像,求出主存地址字段中各段的位数。 3. 某机有五个中断源,按中断响应的优先顺序由高到低为L0,L1,L2,L3,L4,现要求优先顺序改为L3,L2,L4,L0,L1,写出各中断源的屏蔽字。

4. 某机主存容量为4M ×16位,且存储字长等于指令字长,若该机的指令系统具备120种操作。操作码位数固定,且具有直接、间接、立即、相对四种寻址方式。 (1)画出一地址指令格式并指出各字段的作用; (2)该指令直接寻址的最大范围; (3)一次间址的寻址范围; (4)相对寻址的寻址范围。 四、(6分) 设阶码取3位,尾数取6位(均不包括符号位),按浮点补码运算规则 计算 [25169?] + [24)16 11 (-?] 五、画出DMA 方式接口电路的基本组成框图,并说明其工作过程(以输入设备为例)。(8分)

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理第二版课后习题详细答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理试卷A及答案

《计算机组成原理》试卷A 一、单项选择题(本大题共15小题,每小题1分,共15分)在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在题后的括号内。 1.若十进制数为13 2.75,则相应的十六进制数为( )。 A.21.3 B.84.c C.24.6 D.84.6 =( )。 2.若x=1011,则[x] 补 A.01011 B.1011 C.0101 D.10101 3.某机字长8位,含一位数符,采用原码表示,则定点小数所能表示的非零最小正数为( )。 A.2-9 B.2-8 C.1-2-7 D.2-7 4.设某浮点数共12位。其中阶码含1位阶符共4位,以2为底,初码表示;尾数含1位数符共8位,补码表示,规格化。则该浮点数所能表示的最大正数是( )。 A.27 B.28 C.28-1 D.27-1 5.原码乘法是指( ) A.用原码表示乘数与被乘数,直接相乘 B.取操作数绝对值相乘,符号位单独处理 C.符号位连同绝对值一起相乘 D.取操作数绝对值相乘,乘积符号与乘数符号相同 6.一般来讲,直接映象常用在( ) A.小容量高速Cache B.大容量高速Cache C.小容量低速Cache D.大容量低速Cache 7.下列存储器中,( )速度最快。 A.硬盘 B.光盘 C.磁带 D.半导体存储器 8.采用直接寻址方式,则操作数在( )中。

A.主存 B.寄存器 C.直接存取存储器 D.光盘 9.零地址指令的操作数一般隐含在( )中。 A.磁盘 B.磁带 C.寄存器 D.光盘 10.微程序存放在( ) A.主存中 B.堆栈中 C.只读存储器中 D.磁盘中 11.在微程序控制方式中,机器指令和微指令的关系是( )。 A.每一条机器指令由一条微指令来解释执行 B.每一条机器指令由一段(或一个)微程序来解释执行 C.一段机器指令组成的工作程序可由一条微指令来解释执行 D.一条微指令由若干条机器指令组成 12.异步传送方式常用于( )中,作为主要控制方式。 A.微型机的CPU内部控制 B.硬连线控制器 C.微程序控制器 D.串行I/O总线 13.串行总线主要用于( )。 A.连接主机与外围设备 B.连接主存与CPU C.连接运算器与控制器 D.连接CPU内部各部件 14.在常用磁盘中,( )。 A.外圈磁道容量大于内圈磁道容量 B.各道容量不等 C.各磁道容量相同 D.内圈磁道容量大于外圈磁道容量 15.在下列存储器中,( )可以作为主存储器。 A.半导体存储器 B.硬盘 C.光盘 D.磁带 二、改错题(本大题共5小题,每小题2分,共10分)针对各小题的题意,改正其结论中的错误,或补充其不足。 1.在计算机中,各指令周期的时间长度是相同的。 2.CPU只是计算机的控制器。 3.按时序控制方式分,总线可分为串行总线和并行总线。 4.显示适配器中的显示缓冲存储器用于存放显示器将要向CPU输入的信息。 5.对外设统一编址是指给每个外设设置一个地址码。

计算机组成原理试题及答案

计算机组成原理题集含答案 题库题目总数:293 第一章单选题 1、控制器、运算器和存储器合起来一般称为(主机): I/O部件 内存储器 外存储器 主机 2、冯?诺依曼机工作方式的基本特点是(按地址访问并顺序执行指令):按地址访问并顺序执行指令 精确结果处理 存储器按内部地址访问 自动工作 3、输入、输出设备以及辅助存储器一般统称为(外围设备): I/O系统 外围设备 外存储器 执行部件 4、计算机硬件能直接识别和执行的语言是(机器语言): 高级语言 汇编语言 机器语言 符号语言 判断题

5、若某计算机字代表一条指令或指令的一部分,则称数据字(错)。 6、若某计算机字是运算操作的对象,即代表要处理的数据,则称指令字(错)。 7、数字计算机的特点:数值由数字量(如二进制位)来表示,运算按位进行。(对) 8、模拟计算机的特点:数值由连续量来表示,运算过程是连续的。(对) 填空题 9、系统软件包括:服务程序、语言程序、(操作系统)、数据库管理系统。 10、计算机系统的发展按其核心部件采用器件技术来看经历了五代的变化,分别是(电子管)、(晶体管)、(集成电路)、(大规模集成电路)、(巨大规模集成电路)五个部分。 11、计算机系统是一个由硬件和软件组成的多级层次结构,这通常由(微程序级)、(一般机器级)、(操作系统级)、(汇编语言级)和(高级语言级)等组成,在每一级上都可以进行(程序设计)。 12、计算机的软件一般分为(系统软件)和(应用软件)两大部分。 13、计算机的硬件基本组成包括(控制器)、(运算器)、(存储器)、(输入设备)和(输出设备)五个部分。 简答题 14、什么是存储容量?什么是单元地址? 存储器所有存储单元的总数称为存储器的存储容量。灭个存储单元都有编号,称为单元地址。 15、什么是外存?简述其功能。 外存:为了扩大存储容量,又不使成本有很大的提高,在计算机中还配备了存储容量更大的磁盘存储器和光盘存储器,称为外存储器,简称外存。外存可存储大量的信息,计算机需要使用时,再调入内存。 16、什么是内存?简述其功能。 内存:一般由半导体存储器构成,装在底版上,可直接和CPU交换信息的存储器称为内存储器,简称内存。用来存放经常使用的程序和数据。。 17、指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 18、什么是适配器?简述其功能。 适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调的工作。

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

计算机组成原理试卷

13计算机一班赵宇 20130610040109

三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.以上都不对。 6.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K;B.64K;C.64KB;D.128KB。 7.某一RAM芯片,其容量为512K×8位,除电源和接地端外,该芯片引出线的最少数目是______。 A.21;B.17;C.19;D.20。 8.指令寄存器的位数取决于______。 A.存储器的容量;B.指令字长;C.机器字长;D.存储字长。9.CPU中的译码器主要用于____ 。 A.地址译码;B.指令译码;C.选择多路数据至ALU;D.数据译码。 10.直接寻址的无条件转移指令功能是将指令中的地址码送入______。 A.PC; B.地址寄存器;C.累加器;D.ALU。 11.堆栈寻址方式中,设A为累加器,SP为堆栈指示器,MSP为SP指示的栈顶单元,如果进栈操作的动作顺序是(SP – 1) → SP,(A) → MSP,那么出栈操作的动作顺序应为_____。 A.(MSP) → A,(SP) + 1 → SP;B.(SP) + l → SP,(MSP) → A;C.(SP) – 1 → SP,(MSP) → A;D.以上都不对。 12.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。13.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量);B.程序计数器内容加上形式地址;C.变址寄存器内容加上形式地址; D.以上都不对。

计算机组成原理试卷

考试科目名称 计算机组织与系统结构 (A卷)2008——2009学年第 2 学期 教师 袁春风/窦万春考试方式:闭卷系(专业) 计算机科学与技术年级 2007班级 学号 姓名 成绩 题号一二三四五六 分数 一、填空题(本大题共10小题,每空1分,共20分) 得分 1. 在计算机系统层次结构中,指令集体系结构(或ISA,或指令系统)处于硬件和软件交界面, 硬件所有功能由它集中体现,软件通过它在硬件上执行。 2. 任何高级语言源程序或汇编语言源程序都必须翻译成机器代码才能在硬件上执行。完成这种翻译转 换任务的程序有汇编程序、解释程序(或解释器)和编译程序(或编译器)三类。 3. 响应时间和吞吐率(或带宽,或数据传输率)是衡量一个计算机系统好坏的两个基本性 能。不同应用场合,用户关心的性能不同。例如,对于银行、证券等事务处理系统来说,事务处理用户主要关心的是响应时间。 4. 一个变量在计算机内部用0或1编码表示的数被称为机器数,变量真正的值被称为真值。 5. 假定某变量x存放在寄存器R1中为1111 1111 1111 1111 1111 1011 1100 0000B,则变量x在屏 幕上用16进制显示为0x FFFFFBC0 。若x的类型为int,则x的值为 -1088; 对R1进行算术左移4位后的值在屏幕上显示为0x FFFFBC00 ;对R1算术右移4位后为0x FFFFFFBC ;对R1逻辑右移4位后为0x 0FFFFFBC 。 6. 与硬连线路控制器相比,微程序控制器的缺点是速度慢。 7. 假定某计算机采用小端方式,按字节编址。若某变量x的主存地址为00001000H,其数据类型为float, 已知x=-1.5,则主存地址00001000H和00001003H中存放的内容分别是00 H和BF H。 8. 可以用一个特殊的Cache来记录最近使用页的页表项,因为页表项主要用于地址转换,所以把这种 特殊的Cache称为转换后援缓冲器,简称TLB (或快表)。 9. 当处理器发现有未被屏蔽的中断请求发生时,通常通过执行一个“中断隐指令”进行中断响应。在 中断响应过程中,完成三个任务,它们是关中断(或清除中断允许标志)、保存断点(及机器状态)、将中断服务程序首地址送PC。 10. 现代计算机的主存大多采用字节编址方式。所以,假定一个分页虚拟存储器系统的虚拟地址位数为 48位,则虚拟(逻辑)地址空间大小应为256TB。若页面大小为512KB,则一个程序最多可以有512M (或229)个页面。

计算机组成原理试题及答案

《计算机组成原理》试题 一、(共30分) 1.(10分) (1)将十进制数+107/128化成二进制数、八进制数和十六进制数(3分) (2)请回答什么是二--十进制编码?什么是有权码、什么是无权码、各举一个你熟悉的有权码和无权码的例子?(7分) 2.已知X=0.1101,Y=-0.0101,用原码一位乘法计算X*Y=?要求写出计算过程。(10分) 3.说明海明码能实现检错纠错的基本原理?为什么能发现并改正一位错、也能发现二位错,校验位和数据位在位数上应满足什么条件?(5分) 4.举例说明运算器中的ALU通常可以提供的至少5种运算功能?运算器中使用多累加器的好处是什么?乘商寄存器的基本功能是什么?(5分) 二、(共30分) 1.在设计指令系统时,通常应从哪4个方面考虑?(每个2分,共8分) 2.简要说明减法指令SUB R3,R2和子程序调用指令的执行步骤(每个4分,共8分) 3.在微程序的控制器中,通常有哪5种得到下一条指令地址的方式。(第个2分,共10分) 4.简要地说明组合逻辑控制器应由哪几个功能部件组成?(4分) 三、(共22分) 1.静态存储器和动态存储器器件的特性有哪些主要区别?各自主要应用在什么地方?(7分) 2.CACHE有哪3种基本映象方式,各自的主要特点是什么?衡量高速缓冲存储器(CACHE)性能的最重要的指标是什么?(10分) 3.使用阵列磁盘的目的是什么?阵列磁盘中的RAID0、RAID1、RAID4、RAID5各有什么样的容错能力?(5分) 四、(共18分) 1.比较程序控制方式、程序中断方式、直接存储器访问方式,在完成输入/输出操作时的优缺点。(9分) 2.比较针式、喷墨式、激光3类打印机各自的优缺点和主要应用场所。(9分) 答案 一、(共30分) 1.(10分) (1) (+107/128)10 = (+1101011/10000000)2 = (+0.1101011)2 = (+0.153)8 = (+6B)16 (2) 二-十进制码即8421码,即4个基2码位的权从高到低分别为8、4、2、1,使用基码的0000,0001,0010,……,1001这十种组合分别表示0至9这十个值。4位基二码之间满足二进制的规则,而十进制数位之间则满足十进制规则。 1

计算机组成原理7

上海大学计算机学院 《计算机组成原理二实验》报告二 姓名:学号:教师:王雪娟 时间:周四1-3 地点:延长校区行健楼609 机位:17 实验名称:程序转移机制(综合实验) 一、实验目的: 1.学习实现程序转移的硬件机制; 2.掌握堆栈寄存器的使用; 3.深入理解PC寄存器自动加1和打入初值功能对计算机程序的深远影响; 4.掌握代码的分段连续存放技术和实现 二、实验原理: 程序转移:分支和循环总是可以相互替代,所以也常说程序段内的执行流程有顺序和转移两种,而程序段之间的调用也只是把执行流程转移到了另外一个程序段上。所以,任何复杂的程序流程,在硬件实现机制上只有两种情况:顺序执行和转移。硬件实现这两种情况的技术很简单:PC寄存器的自动加1功能实现程序顺序执行,PC 寄存器的打入初值功能实现程序转移。 实验箱系统的程序转移硬件机制:当LDCP有效(0)时,PC被打入新值(赋初值),实现程序的转移。这一刻DBUS上的值就是转移的目标地址(被打入PC),这个地址同转移指令所在地址的关系决定了转移类型。 子程序调用和保护断点:子程序的调用和返回是两次转移,特殊点在于:返回时转移的目标一定是调用时转移的出发点。为实现这个特点,在调用转移时必须把出发地址(断点)保存起来。这个“保存”还必须有两个要求:1.不被一般用户所知或改变。2.返回转移时能方便地找到它。第一个要求决定了它不能被保存在数据存储区或程序存储区,第二个要求决定了返回指令的目标地址获得方法与其它转移指令完全不同,返回指令的目标地址一定从这个特殊的“保存区”得到,指令本身不需要再带目标地址,而其他转移指令必须自带目标地址。再考虑到子程序调用的“可嵌套性”,这个“保护区”里的数据应该有“先入后出”特点,这与“货栈”中堆放的货物相似,故称其为“堆栈”。 ST寄存器结构和子程序调用与返回控制信号:实验箱子程序调用和返回的结构由PC电路和ST电路组成。调用转移时,PC的当前值(断点)经下面的245送上DBUS,进入ST保存;然后给PC打入子程序入口地址(调用指令携带的目标地址)完成转子程序。返回转移时,返回指令开启ST的输出,并给出PC打入信号(无条件转移),

2009计算机组成原理期末考试A卷

信息学院本科生2008——2009学年第2学期计算机组成原理课程期末考试试卷(A卷) 一、选择题(本题共30分,每小题1.5分) 1.冯·诺伊曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是________。 A.指令操作码的译码结果B.指令和数据的寻址方式 C.指令周期的不同阶段D.指令和数据所在的存储单元 2.IEEE754标准32位浮点数格式中,符号位为1位,阶码为8位,尾数为23位,则它所能表示的最大规格化正数为___。 A.+(2-2-23)×2+127B.+(1-2-23)×2+127 C.+(2-2-23)×2+225D.2+127-2-23 3.4片74181ALU和1片74182CLA器件配合,具有如下进位传递功能________。 A.行波进位B.组内先行进位,组间先行进位 C.组内先行进位,组间行波进位D.组内行波进位,组间先行进位 4.在规格化数表示中,保持其它方面不变,将阶码部分的移码表示改为补码表示,将会使数的表示范围________。 A.增大B.减少C.不变D.以上都不对 5.动态RAM的刷新是以__________为单位进行的。 A.存储单元B.行C.列D.存储位 6.某计算机的Cache共有16块,采用2路组相联映射方式(即每组2块)。每个主存块大小为32字节,按字节编址。 主存129号单元所在主存块应装入到Cache的组号是______。 A.0 B.2 C.4 D.6 7.假设某计算机的存储系统由Cache和主存组成。某程序执行过程中访存1000次,其中访问Cache缺失(未命中)50次, 则Cache的命中率是_______。 A.5%B.9.5%C.50%D.95%

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

相关主题