搜档网
当前位置:搜档网 › 基于8086的电子时钟设计(彩色图版)

基于8086的电子时钟设计(彩色图版)

基于8086的电子时钟设计(彩色图版)
基于8086的电子时钟设计(彩色图版)

目录

错误!未找到引用源。

摘要 (1)

Abstract (1)

第一章电子钟设计总体方案设计 (2)

1.1 设计目的 (2)

1.2 设计要求 (2)

1.3 方案比较 (2)

1.3.1 非中断方式与中断方式的比较 (2)

1.3.2 LED显示与液晶显的比较 (3)

1.4 总体方案设计思路 (3)

第二章系统硬件设计 (4)

2.1 8255与CPU之间的连接关系 (4)

2.2 8253与周边电路的连接关系 (4)

2.3 8259与周边电路连接关系 (5)

2.4 液晶显示模块与8255之间的连线关系 (5)

2.5 地址译码器与按键 (6)

2.6 系统总体硬件电路图 (6)

第三章系统软件设计 (7)

3.1 编址及控制字的确定 (7)

3.1.1 编址 (7)

3.1.2 控制字 (7)

3.2 分块子程序 (7)

3.2.1 1602读写操作子程序 (7)

3.2.2中断子程序 (9)

3.3 主程序设计 (11)

总结与致谢: (13)

参考文献: (14)

附录: (15)

摘要

本设计以微机原理与接口技术为基础,以8086CPU为核心,利用INTER 8253可编程定时/计数器,通过引入时钟发生器产生标准时钟进行精准定时;经定时器产生中断源,采用可编程中断控制器8259A进行中断扩展,用可屏蔽中断方式进行时间的采集;以可编程并行I/O接口芯片8255A扩展接口,驱动MSC1602液晶模块进行时间显示。

关键词:微机原理;接口技术;8086CPU;可屏蔽中断;MSC1602液晶;可编程;

INTER 8253定时/计数器;中断控制器8259A;并行I/O接口芯片8255A

Abstract

This design take the microcomputer principle and the connection technology as a foundation, by the 8086CPU core, uses the INTER 8253 programmable fixed time/counters, produces the standard clock through the introduction clock generator to carry on fine fixed time; Produces the interrupt source after the timer, uses programmable interrupt controller 8259A to carry on the interrupt to expand, sends the maskable interrupt way to carry on the counting; By programmable parallel I/O the connection chip 8255A expansion connection, actuates the MSC1602 liquid crystal module to carry on the time demonstration.

Key word: Microcomputer principle; Connection technology; 8086CPU; Maskable interrupt; MSC1602 liquid crystal; Programmable; INTER 8253 fixed time/counters; Interrupt controller 8259A; Parallel I/O connection chip 8255A

第一章电子钟设计总体方案设计

1.1 设计目的

电子钟是一种基于微电子技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

1.2 设计要求

利用8253定时器设计一个具有时、分、秒显示的电子时钟,并定义一个启动键,当按下该键时电子时钟从当前设定值开始走时。

1.3 方案比较

为实现设计要求,在8086微机系统中实现计时功能可有多种方式,同时实现显示的方式也有多种,现主要比较计时方式中的中断与非中断方式,及显示常用的LED 显示与液晶显示。

1.3.1 非中断方式与中断方式的比较

在非中断方式设计常使用的方式为查询法,查询法的设计,可将定时/计数器8253的OUT引脚接至并行I/O扩展芯片8255的PA,PB,PC的任一口上,CPU通过不断的检测该引脚上的高低电平变化,来进行计数。

该方式的优点:实现思路相对简单;由于不要引入中断则可不用中断扩展芯片8259电路相对简单;该方式的缺点:由于CPU要不断的去检测单一引脚高低电平的变化,占用的时间比较长,利用率较低;与此同时,CPU要进行数据的运算与控制数据的传输,如果二者冲突,可能会发生计时不准的现象。

中断方式的设计思路,将定时/计数器8253的OUT脚接至中断扩展芯片8259的IR引脚上,定时器产生相同频率的计数脉冲,形成中断源。8259再通过INTR引脚向CPU发出中断请求信号,CPU通过中断检测进行计数/计时。

该方式的主要缺点:由于芯片8259的引进,使电路相对复杂;该方式的主要优点是:用中断的方式可以大大提高CPU的利用效率,同时可以在该系统上进行更多功能的扩展,同时用中断的方式进行计数/计时,计时更加的准确可靠。

基于以上的考虑本设计,采用电路稍微复杂,但可靠性强,利用效率高的中断方式。

1.3.2 LED 显示与液晶显的比较

为完成本设计的要求,若采用LED 则需6块以上,可以采用二片8255来驱动动这六片LED 进行静态显示。也可以采用动态扫描的方式,用一片8255加锁存器(如74LS573)来进行动态显示。若采用液晶显示,则只需用一片8255芯片进行接口的扩展(具体扩展方案将在下面进行介绍),可以得到较为满意的显示效果,但操作的技巧性要求相对较高,成本相对较高。

不论从硬件电路驱动的复杂性,还是从软件设计的简洁性及整个系统的外观来看,时尚的液晶显示更能满足系统的需求。鉴于以上考虑,本次设计采用操作要求相对较高的液晶进行数码显示。

1.4 总体方案设计思路

本方按主要设计思路如右下图所示:以8086CPU 最小系统为核心,控制可编程定时/计数器INTER 8253,可编程中断控制器8259A ,可编程并行I/O 接口芯片8255A 。通过8253的输出记数脉冲为8259产生中断源,8259将中断信号反馈到CPU ,从而产生可屏蔽中断的申请信号,在CPU 的合理响应下进行计数,通过8255驱动16*2的液晶显示模块LCM1602进行时间显示。

8086CPU

8255

8259

8253

1602

驱动

产生中断

控制

中断源

控制

控制

第二章系统硬件设计

在本章中,将分模块对本次设计的硬件设计进行简述,分块介绍系统的主要功能及连线方式。

2.1 8255与CPU之间的连接关系

通过CPU与8255的读写控制线对接,有效的控制接口芯片的输入输出状态,用第11和12根地址线再加上2-4译码器的的Y0脚接8255片选,为8255的A,B,C及控制口分配了可靠的地址。将8086

的低八位数据线与8255数据端对接,为

8255的输入输出提供数据。由于为芯片提

供的都是偶地址,系统默认访问低八位的

数据线。具体连线图见右图:

2.2 8253与周边电路的连接关系

在系统中定时/计数芯片8253主要与

CPU及中断扩展芯片8259之间存在着连接

关系。同8255,8253的读写控制线与CPU

的读写控制线对接,正确控制CPU对8253

的读写控制(本次设计只用到了CPU往

8253中写入数据,没用到读出状态的功能),

第2和3位数据/地址复用线加上译码器的

Y1端为8253的定时器0,1,2及控制口确

定了可靠的地址(仅用到T0及控制地址),CPU低八位数据线为控制8253提供数据。通过CLK0端引入时钟发生器所产生的标准时钟(本设计采用10KHZ的外部时钟),将OUT0接至8259的IR0引脚上,作为中断源。具体电路如上示:

2.3 8259与周边电路连接关系

为了对8259进行合理分配奇、偶地址,从CPU引入了第A13作为地址线,再加上译码器的Y2端作为片选信号,8259获得了两个可靠的地址。同8255与8253相似8086同样靠低八位的数据线,与8259进行数据交换。IR0为8253的计数输出端,8259通过检测IR一组引脚的输入情况,经过中断判优以后,对当前优先级最高的中断进行响应,同时向CPU发送INTR信号请求中断,在可屏蔽中断允许的情况下CPU对其进行响应,返回INTA非的信号,8259此时发出当中断的中断类型号给8086。程序跳到中断子程序的入口地址处去执行中

断操作。具体电路连线如右图所示:

2.4 液晶显示模块与8255之间的连线关系

液晶显示模块1602的数据线接在8255的A口上,通过对A口进行读写操作,为显示模块提供控制指令及显示数据。8255的PC0和PC1分别接1602的RS(指令/数据控制脚)和EN(使能脚)上,控制指令/数据的输入。

其它引脚按典型接法接线,要说明的是,本

次对1602只进行了写的操作,故将读写控制脚接

低电平。通过延时的方式来解决1602读写操作忙

的问题(具体时序见第三章系统软件设计)。连

线图如右示:

2.5 地址译码器与按键

在本次设计中,由于有多片芯片要进行地址编码,使用了一片2-4译码器74LS139 进行译码,使地址编码更加的方便。使用的CPU地址端口为第9和10号地址线,输出的Y0,Y1,Y2分别接8255,8253,8259。

由于设计要求,在本设计中进行了按键设计,其电路简单,当K未按下时输出为高电平,当K按下时输入给8255PB0口一个低电平,系统通过检测这一引脚是否为低电平来判断系统是否开始从当前时间开始计时。

具体设计电路图见2.6总体硬件电路图。

2.6 系统总体硬件电路图

第三章系统软件设计

3.1 编址及控制字的确定

3.1.1 编址

按照第二章硬件设计电路中,CPU对8253,8255,8259进行的地址分配地址(仅为在编程过程过要使用的地址进行编制),本设计所用编址无用位均置0;

8253 定时器0的地址:0200H;控制口:020CH

8255 A口地址:0;B口地址:0800H;C口地址:1000H;控制口:1800H 8259 奇地址:2400H;

3.1.2 控制字

根据系统的需要,合理选择各芯片的工作方式。

8253:选取定时器0,采用先写高八拉后写低八的初值写入方式,工作方式3—方波发生器,采取二进制的方式输入初值,从而CW=00110110B=36H 8255:分别选取A,C口为输出端口,B口为输入端口均采用工作方式0—简单的输入/输出方式,故工作方式控制字为;10000010B=82H;同时要对PC0和PC1进行复位和置位操作,其复位/置位控制字分别为:PCO复位/置位控制字为:0/01H;PC1口的置位/复位控制字:02H/03H

8259:本设计中只有一片8259无从片,故无须写入ICW3,不需要写ICW4采用上升沿触发的方式可得:ICW1=00010010H=12H;使用60H号中断故ICW2=60H 3.2 分块子程序

3.2.1 1602读写操作子程序

如右图所示,为1602液晶显示的写时

序图:1602的写操作通过不同的时序进行控

制,可分为写指令操作(子程序WRITE-

COM)和写数据(子程序WRITE-DATA)。

写指令的时序为,先拉低RS小延时(约

0.5ms)后将EN拉低,小延时后拉高电平输

入指令到液晶,然后拉低EN;写数据的操作时序与之基本类似,只是先拉低指令/数

据控制信号RS,然后拉低EN。最体子程序代码如下示:

WRITE_COM PROC FAR ;1602写指令子程序

PUSH AX ;将AX压入堆栈

PUSH CX;

MOV DX,1800H;

MOV AL,1 ;

OUT DX,AL ;将PC0即RS拉为高电平

MOV AL,2 ;将PC1即EN拉为低电平

OUT DX,AL;

MOV DX,0 ;从A口输出数据

POP AX;

OUT DX,AL;

CALL DELAY ;短暂延时

MOV AL,3 ;将EN拉高让数据写入液晶

MOV DX,1800H;

OUT DX,AL;CALL DELAY;

MOV AL,2 ;拉低EN;

OUT DX,AL;

POP CX;

POP AX;

RET;

WRITE_COM ENDP

WRITE_DATA PROC FAR ;1602写数据子程序

PUSH AX ;将AX压入堆栈

PUSH CX;

MOV DX,1800H;

MOV AL,0 ;

OUT DX,AL ;将PC0即RS拉为低电平

MOV AL,2 ;将PC1即EN拉为低电平

OUT DX,AL;

MOV DX,0 ;从A口输出数据

POP AX;

OUT DX,AL;CALL DELAY ;短暂延时

MOV AL,3 ;将EN拉高让数据写入液晶

MOV DX,1800H;

OUT DX,AL;

CALL DELAY;

MOV AL,2 ;拉低EN;

OUT DX,AL;

POP CX;

POP AX;

RET;

WRITE_DATA ENDP

3.2.2中断子程序

中断子程序的设计流程图如右示,进入中断后先保护现场(将寄存器CX,AX,DX及标志寄存器中的内容压入堆栈中),然后恢复中断

现场(分别将秒时分计数寄存器BX,SI,DI的数值进行恢复继续计数)然后进行时钟的操作,最后要保护中断现场,即将时分计数寄存器BX,SI,DI的当前数值进行压栈操作进行保护方便下一次的计数,接着要进行现场的恢复(对寄存器CX,AX,DX及标志寄存器进行弹栈操作,并进行开启中断方便下一次中断的到来)。具体子程序设计如下:

TIMER PROC FAR

PUSH CX;保护现场

PUSH AX;

PUSH DX;

PUSHF;

POP BX; 恢复秒计数寄存器

POP SI; 恢复分计数寄存器

POP DI; 恢复时计数寄存器

INC BX; 秒加一操作

MOV AL,80H+40H+0AH;写指令给1602进行输出定位CALL WRITE_COM

MOV AX,BX;

AAD; BCD码调整指令

MOV CX,10;

DIV CX; AX除以10得当前秒的十位和个位

CALL WRITE_DATA ;写入秒十位

MOV AL,AH;

CALL WRITE_DATA;写入秒个位

CMP BX,60;比较是否到了60

JNZ EXIT; 不是60跳到EXIT的位置

MOV BX,0;秒清0

INC SI;分加1

MOV AL,80H+40H+08H;写指令给1602进行输出定位

中断开始

保护现场

恢复中断现场

秒加1并输出

秒=60

秒清0分加1并输出

分=60

分清0时加1并输出

时=24

时清0

恢复现场并开中断

中断返回

保护中断现场

N

N

N

Y

Y

Y

CALL WRITE_COM

MOV AX,SI;

AAD; BCD码调整指令

MOV CX,10;

DIV CX; AX除以10得当前分的十位和个位

CALL WRITE_DATA ;写入分的十位

MOV AL,AH;

CALL WRITE_DATA;写入分的个位

CMP SI,60;检测分是否到60

JNZ EXIT;不是60跳转到EXIT处

MOV SI,0;分清0

INC DI;时加1

MOV AL,80H+40H+05H;写指令给1602进行输出定位CALL WRITE_COM

MOV AX,DI;

AAD; BCD码调整指令

MOV CX,10;

DIV CX; AX除以10得当前时的十位和个位

CALL WRITE_DATA;写入时的十位

MOV AL,AH;

CALL WRITE_DATA;写入时的个位

CMP DI,24;检测时是否为24

JNZ EXIT;跳到公共点EXIT

MOV SI,0;时清零

EXIT:PUSH DI; 保护中断现场

PUSH SI;

PUSH BX;

POP DX;恢复现场

POP AX;

POP CX;

STI;开启中断

IRET;中断返回

TIMER ENDP

3.3 主程序设计

主程序的主要功能是: 1. 完成各主要芯片的初始化; 2. 完成液晶显示模块的初始化; 3. 检测开关按键是否按下; 4. 实时检测有无可屏蔽中断 其主要流程如右图所示: 具体设计代码如下:

START :

MOV AX,DATAS

MOV DS,AX

MOV AL,82H ;8255初始化

MOV DX,1800H

OUT DX,AL ;

MOV AL,36H ;8253初始化

MOV DX,020CH ; OUT DX,AL ; MOV AL,27H ; MOV DX,0200H OUT DX,AL ; MOV AL,10H ; OUT DX,AL ;

MOV AL,12H ;8259初始化

MOV DX,0204H ; OUT DX,AL ;

MOV AL,60H ; OUT DX,AL ;

MOV AL,60H ;将TIMER 对应的60号中断写入中断向量表,本设计采用DOS 功能调用法写入

MOV AH,25H ;

MOV CX,SEG TIMER ; MOV DS,CX ;

MOV DX,OFFSET TIMER ; INT 21H ;

开始

8255初始化

8253初始化

8259初始化 1602初始化

检测K =0 等待中断

N Y

MOV AL,38H;设置1602为16*2行 5*7点阵

CALL WRITE_COM;

MOV AL,0BH;开显示,不显示光标,光标不闪烁

CALL WRITE_COM;

MOV AL,06H;指针自动加一,整屏不移动

CALL WRITE_COM;

MOV AL,1; 清屏指令

CALL WRITE_COM;

MOV AL,80H+40H+05H;在1602的第二行第5个位置写入数据,正好在1602的正中位置

CALL WRITE_COM;

MOV CX,8;

MOV SI,-1;

AA1:INC SI; 将BUF里边的内容写入1602,其初始化完成

MOV AL,BUF[SI];

CALL WRITE_DATA;

LOOP AA1;

MOV BX,0;

MOV SI,0;

MOV DI,0;

AA2:MOV DX,0800H; 检测按键K是否按下

IN AL,DX;

TEST AL,1;

JNZ AA2 ;不为0表明K未按下跳转

STI ;开中断

JMP $ ;等待中断

总结与致谢:

经过一周的微机原理及应用课程设计,深化了我对微机原理及其接口技术的理解与认识。在接到课到课题以后我先对设计的要求进行了分析,通过方案的比校最终确定了所要使用的芯片,然后开始利用PROTEL 99进行硬件设计,在硬件设计完成后开始着手程序的设计,并成功运行原代码的书写,最后完成了文档的制作。

在这一周的实习时间内让我受益良多,不仅让我平时所学的内容在本次设计中得到了应用,也让我更熟悉了各主要芯片的工作方式,同时通过文档的制作也让我在文档的制作上有了一定的进步,这将为我以后的毕业设计和以后的工作奠定良好的基础。

在此首先要感谢我的课程设计指导老师王南兰老师,她在设计之前对设计的要求与实现方式进行了详细的说明,为我的设计方案提供了思路;也为我们的文档设计提供了模板,让我在文档制作时更具有方向性和标准性。其次要感谢的是我的微机原理及接口技术的任课老师张晓虎老师,他在这一学期内教会了我程序设计的方法及对各主要芯片应用与控制,也教会了我一个完整的去做一个课题设计的步骤。再次向两位老师表示衷心的感谢!

但是,这次的实习唯一感到遗憾的是,由于实验器材的限制,也无法做出直观可见的仿真结果,所以这次的设计仅是基于理想化的设计。

参考文献:

[1] 彭楚武,张志文,等,微机原理与接口技术.湖南大学出版社,2004

[2] 周秀清,周荷琴.微型计算机原理与接口技术.合肥:中国科技大学出版社,1999

[3] 李芷主编.微机原理与接口技术.北京:电子工业出版社,2002

[4] 杨路明.微型计算机系统及应用.长沙:中南工业大学出版社,1994

[5] 钱晓捷,陈涛.微型计算机原理及接口技术.北京:机械工业出版社,1999

[6] 朱德森,温鹏.微型计算机原理及接口技术.武汉:华中理工大学出版社,1995

[7] 朱定华.微机原理与接口技术.北京:北方交通大学出版社,清华大学出版社,2002

[8] 谢维达,李玲玲编著.微型计算机原理与系统.北京:北京希望电子出版社,2003

[9] 沈美明,温冬婵.IBM-PC汇编语言程序设计(第二版).北京:清华大学出版社,2003

[10] 李广军,王厚军.实用接口技术.成都:电子科技大学出版社,1998

附录:

源程序代码:

DATAS SEGMENT

BUF DB'00:00:00','$';此处输入数据段代码

DATAS ENDS

STACKS SEGMENT

;此处输入堆栈段代码

STACKS ENDS

CODES SEGMENT

ASSUME CS:CODES,DS:DATAS,SS:STACKS

START:

MOV AX,DATAS

MOV DS,AX

MOV AL,82H ;8255初始化

MOV DX,1800H

OUT DX,AL;

MOV AL,36H ;8253初始化

MOV DX,020CH;

OUT DX,AL;

MOV AL,27H;

MOV DX,0200H

OUT DX,AL;

MOV AL,10H;

OUT DX,AL;

MOV AL,12H ;8259初始化

MOV DX,0204H;

OUT DX,AL;

MOV AL,60H;

OUT DX,AL;

MOV AL,60H ;将TIMER对应的60号中断写入中断向量表,本设计采用DOS功能调用法写入

MOV AH,25H;

MOV CX,SEG TIMER;

MOV DS,CX;

MOV DX,OFFSET TIMER;

INT 21H;

MOV AL,38H;设置1602为16*2行 5*7点阵

CALL WRITE_COM;

MOV AL,0BH;开显示,不显示光标,光标不闪烁

CALL WRITE_COM;

MOV AL,06H;指针自动加一,整屏不移动

CALL WRITE_COM;

MOV AL,1; 清屏指令

CALL WRITE_COM;

MOV AL,80H+40H+05H;在1602的第二行第5个位置写入数据,正好在1602的正中位置

CALL WRITE_COM;

MOV CX,8;

MOV SI,-1;

AA1:INC SI; 将BUF里边的内容写入1602,其初始化完成

MOV AL,BUF[SI];

CALL WRITE_DATA;

LOOP AA1;

MOV BX,0;

MOV SI,0;

MOV DI,0;

AA2:MOV DX,0800H; 检测按键K是否按下

IN AL,DX;

TEST AL,1;

JNZ AA2 ;不为0表明K未按下跳转

STI ;开中断

JMP $ ;等待中断

DELAY PROC FAR

PUSH CX;

AA3:MOV CX,50

LOOP AA3;

POP CX;

RET

DELAY ENDP

WRITE_COM PROC FAR ;1602写指令子程序

PUSH AX ;将AX压入堆栈

PUSH CX;

MOV DX,1800H;

MOV AL,1 ;

OUT DX,AL ;将PC0即RS拉为高电平

MOV AL,2 ;将PC1即EN拉为低电平

OUT DX,AL;

MOV DX,0 ;从A口输出数据

POP AX;

OUT DX,AL;

CALL DELAY ;短暂延时

MOV AL,3 ;将EN拉高让数据写入液晶

MOV DX,1800H;

OUT DX,AL;

CALL DELAY;

MOV AL,2 ;拉低EN;

OUT DX,AL;

POP CX;

POP AX;

RET;

WRITE_COM ENDP

WRITE_DATA PROC FAR ;1602写指令子程序PUSH AX ;将AX压入堆栈

PUSH CX;

MOV DX,1800H;

MOV AL,0 ;

OUT DX,AL ;将PC0即RS拉为低电平

MOV AL,2 ;将PC1即EN拉为低电平

OUT DX,AL;

MOV DX,0 ;从A口输出数据

POP AX;

OUT DX,AL;

CALL DELAY ;短暂延时

MOV AL,3 ;将EN拉高让数据写入液晶

MOV DX,1800H;

OUT DX,AL;

CALL DELAY;

MOV AL,2 ;拉低EN;

OUT DX,AL;

POP CX;

POP AX;

RET;

WRITE_DATA ENDP

TIMER PROC FAR

PUSH CX;保护现场

PUSH AX;

PUSH DX;

PUSHF;

POP BX; 恢复秒计数寄存器

POP SI; 恢复分计数寄存器

POP DI; 恢复时计数寄存器

INC BX; 秒加一操作

MOV AL,80H+40H+0AH;写指令给1602进行输出定位CALL WRITE_COM

MOV AX,BX;

AAD; BCD码调整指令

MOV CX,10;

DIV CX; AX除以10得当前秒的十位和个位

CALL WRITE_DATA ;写入秒十位

MOV AL,AH;

CALL WRITE_DATA;写入秒个位

CMP BX,60;比较是否到了60

JNZ EXIT; 不是60跳到EXIT的位置

MOV BX,0;秒清0

INC SI;分加1

MOV AL,80H+40H+08H;写指令给1602进行输出定位CALL WRITE_COM

MOV AX,SI;

AAD; BCD码调整指令

MOV CX,10;

DIV CX; AX除以10得当前分的十位和个位

CALL WRITE_DATA ;写入分的十位

MOV AL,AH;

CALL WRITE_DATA;写入分的个位

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

微机基础原理课程教学设计电子时钟设计

2010级微机原理课程设计 实验报告 设计题目:电子时钟设计 班级:电信10102班 分组名单: 2012-6-28

目录 第一章设计部分 1.1 设计目的 (1) 1.2设计要求 (1) 1.3设计思路 (1) 第二章实现部分 2.1、分析论证 2.1.1显示模块 (1) 2.1.2时钟运算模块 (1) 2.1.3对时模块 (2) 2.2、原理说明 (2) 2.3、设计电路图 (2) 2.3.18086最小工作方式 (2) 2.3.2秒脉冲发生器 (3) 2.3.3时、分调整电路 (3) 2.3.4.显示电路 (4) 2.4、元件功能说明 2.4.1.8253的主要功能 (4) 2.4.2.D触发器74ls273管脚排列图及功能表介绍 (5) 2.4.3单向总线驱动器74ls244功能介绍 (5) 2.5、程序清单 (6) 2.6、调试过程遇到问题及解决方法 (9) 第三章电子时钟使用说明 3.1电子时钟按键说明 第四章学习心得 附录 参考文献 (9)

第一章设计部分 1.1 设计目的 学习掌握protues软件功能及其使用方法 熟练掌握TND86/88教学系统的基本操作和调试程序的各种指令。 熟练掌握8086CPU的使用与编程调试程序的方法。 掌握8253定时/计数器的工作原理、工作方式及其应用编程。 练习7SEG-MPX8-CA-RED数码管的显示编程方法。 1.2、设计要求 利用8253定时器设计一个具有时、分、秒显示的电子时钟,并定义一个启动键,当按下该键时时钟从当前设定值(可在显示缓冲区中予置)开始走时。 1.3、设计思路 电子时钟主要由显示模块、对时模块和时钟运算模块三大部分组成。其中对时模块和时钟运算模块要对时、分、秒的数值进行操作,并且秒计算到60时,要自己清零并向分进1;分计算到60时,要自己清零并向时进1;时计算到24时,要清零。这样,才能循环记时。显示时利用7段显示器显示六位十进制数据。前两个显示小时,中间两个显示分钟,后两个显示秒。时钟的运算是利用中断来实现的,利用8253的模式三输出一定频率的方波作为触发中断的条件。8253A可编程定时/计数器的输入时钟为100KHz,设定时/计数器0的计数初值为100,工作在方式3,即方波发生器,其输出的1KHz方波作定时/计数器2的时钟。定时/计数器2的初值设为1000,工作在方式2,即每隔1s输出负脉冲,取反后用作不可屏蔽中断的中断申请信号,在中断服务程序中计算时间,并通过74ls273并行输出到数码管显示。 第二章实现部分 2.1、分析论证 2.1.1、显示模块 利用数码管的显示功能来设计。数码管是由八个条形发光二极管组成的,这些发光二极管的阴极是互相连接在一起的,所以称为共阴极数码管。通过在这八个发光二极管的阳极加+5 V或0 V的电压使不同的二极管发光,形成不同的字符和数字。电子时钟用到的是0到9十个数字,他们所对应的字符表依次是3FH、06H、5BH、4FH、66H、6DH、7DH、07H、7FH、6FH。该模块显示时先将保存在数据单元的当前时间包括小时和分钟读取出来,把十六进制数字转化成十进制用四个字节分别存放小时和分钟,并把这四个数值通过74ls273端口输出给数码管显示。 2.1.2、时钟运算模块 该模块的主要功能是对时、分、秒的运算,并把运算出的最终结果存到事先已经开辟的内存单元里,以便显示模块即时地显示出来。该模块可以细分为秒定时模块和运算模块。秒定时模块负责提供中断信号,由于CPU运算模块中的指令消耗一定的时间,所以中断信号最好通过硬件来实现,选择的是8253定时/计数器,但又因为8253所能提供的信号的周期时毫秒级的,因此必须通过软件的方法在运算模块中设置一个统计中断次数的变量,并且这一变量必须事先在内存里开辟存储单元。中断信号是8253的计数器2工作方式为方式2时,同过设置计数器2的初值来产生

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

(完整版)单片机的电子时钟设计毕业设计

以下文档格式全部为word格式,下载后您可以任意修改编 辑。 济源职业技术学院 毕业设计 题目单片机的电子钟设计 系别电气工程系 专业应用电子技术 班级电技0801 姓名肖见 学号 指导教师苗绍强 日期 2010年12月

设计任务书 设计题目: 单片机的电子钟设计 设计要求: 1.设计一个具有特定功能的电子钟。该电子钟上电或按键复位后能自动显示系统提示符“P.”,进入时钟准备状态;第一次按电子钟启动调整键,电子钟从0时0分0秒开始运行,进入时钟运行状态;再次按电子钟启动调整键,则电子钟进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按启动调整键再次进入时钟运行状态。 2.设计完成上述功能的相应的硬件调试和软件调试。 3.完成焊接和实物电路的调试。 设计进度要求: 第一周:选定设计题目,查找、搜集相关资料。 第二周:了解各元器件、模块的功能及使用方法。 第三周:硬件电路的设计。 第四周:相应软件设计(程序设计)。 第五周:利用相关的仿真软件测试并记录相关的数据和错误。 第六周:焊接实物电路,并且在实物电路上调试并且记录相关的数据和问题。 第七周:写毕业论文。 第八周:毕业答辩。指导教师(签名):

摘要 时钟,自从它发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术的不断发展,人们对时间计量的精度要求越来越高,应用越来越广。怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。 数字电子钟的设计方法有多种,其中,利用单片机实现的电子钟具有编程灵活,便于电子钟功能的扩充,即可用该电子钟发出各种控制信号,精确度高等特点,同时可以用该电子钟发出各种控制信号。 本设计主要介绍用单片机内部的定时计数器来实现电子时钟的方法,本设计由单片机AT89C52芯片和LED数码管为核心,辅以必要的电路,构成了一个单片机电子时钟。与传统机械表相比,它具有走时精确,显示直观等特点。它的计时周期为24小时,显满刻度为“23时59分59秒”,另外具有校时功能等特点。 关键词:电子钟,单片机,汇编

数字电子时钟实验报告材料

华大计科学院 数字逻辑课程设计说明书 题目:多功能数字钟 专业:计算机科学与技术 班级:网络工程1班 姓名:刘群 学号: 1125111023 完成日期:2013-9

一、设计题目与要求 设计题目:多功能数字钟 设计要求: 1.准确计时,以数字形式显示时、分、秒的时间。 2.小时的计时可以为“12翻1”或“23翻0”的形式。 3.可以进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率 1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图

⑴多谐振荡器电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号,可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求,时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。 ⑷数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、R2,电容C1、C2 构成一个多谐振荡器,利用电容的充放电来调节输出V0,产生矩形脉冲波作为时钟信号,因为是数字钟,所以应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路,按时间进制从右到左构成从低位向高位的进位电路,并通过译码显示。在六位LED 七段显示起上显示

数字电子钟设计报告

《电子线路课程设计报告》 系别:自动化 专业班级:自动化0803 学生姓名:冯刚 指导教师:朱定华 (课程设计时间:2010年05月31日——2010年06月12日) 华中科技大学武昌分校

目录 1.课程设计目的 (3) 2.课程设计题目描述和要求 (3) 3.课程设计报告内容.....................................................................3-9 3.1实验名称 (3) 3.2实验目的 (3) 3.3实验器材及主要器件 (3) 3.4数字电子钟基本原理 (4) 3.5数字电子钟单元电路设计、参数计算和器件选择..............................4-8 3.6数字电子钟电路图 (8) 3.7数字电子钟的组装与调试............................................................8-9 4.总结 (9) 参考文献 (10)

1.课程设计目的 ※掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; ※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;※提高电路布局﹑布线及检查和排除故障的能力; ※培养书写综合实验报告的能力。 2.课程设计题目描述和要求 (1)设计一个有“时”、“分”、“秒”(12小时59分59秒)显示,且有校时功能的电子钟; (2)用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试; (3)画出框图和逻辑电路图,写出设计、实验总结报告; (4)选做:整点报时。在59分51秒、53秒、55秒、57秒输出500Hz音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz荧屏结束时刻为整点。 3.课程设计报告内容 3.1实验名称 数字电子钟 3.2实验目的 ·掌握数字电子钟的设计、组装与调试方法; ·熟悉集成电路的使用方法。 3.3实验器材及主要器件 (1)74LS48(6片)(2)74LS90(5片)(3)74LS191(1片)(4)74LS00(5片)(5)74LS04(3片)(6)74LS74(1片)(7)74LS2O(2片) (8)555集成芯片(1片) (9)共阴七段显示器(6片)(10)电阻、电容、导线等(若干)

基于单片机的电子时钟系统设计

题目:电子时钟系统设计 班级: 姓名: 专业: 指导教师: 答辩日期:

毕业设计任务书 一、设计题目: 电子时钟系统设计 二、设计要求: 利用8031单片机作为主控器组成一个电子时钟系统。利用4个LED显示管分时显示当前时间和日历;上电或RESET后能自动显示当前时间(时:分),首次上电复位显示为0时0分;以后各次均显示正确的当前时间;利用尽可能少的小键盘(开关)实现;显示选择:时分显示/日历显示/报警显示,利用发光二极管作为报警指示,当报警时间到,二极管发光。 三、设计任务: 1.设计硬件电路,画出电路原理图; 2. 设计软件,编制程序,画出程序流程图; 3.调试程序,写出源程序代码; 4.写出详细毕业设计说明书(10000字以上),要求字迹工整,原理叙述正确,会计算主要元器件的一些参数,并选择元器件。 5.个人总结。 四、参考资料: 1. 教材; 2.《单片机实验指导书》,河南工业职业技术学院内部; 3.《51系列单片机设计实例》,楼然苗、李光飞编著,北京航空航天出版社; 4.《微机控制技术及应用》,韩全立主编,机械工业出版社; 5.《单片机应用技术与实训》,王治刚主编,清华大学出版社; 6.《常用电子电器手册》; 7.《单片机应用技术与实例》,睢丙东主编,电子工业出版社;

8.《单片微型计算机应用技术》,徐仁贵,机械工业出版社。

目录 第一章绪论 (6) 1.1 单片机的概述 (6) 1.2 数字电子钟的简介 (7) 第二章电子时钟硬件电路设计 (9) 2.1 硬件电路设计摘要 (9) 2.2 硬件电路设计来源 (9) 2.3 硬件电路设计原理图 (11) 第三章软件设计及程序编制 (13) 3.1 系统程序设计 (13) 3.2 电子钟的说明 (16) 3.3 中断服务程序 (18) 3.4 设计参数 (21) 3.5 控制源程序代码 (21) 第四章功能调试及分析 (31) 4.1 调试功能的方法 (31) 4.2 电子钟计时说明 (31) 4.3 调试及性能分析 (32)

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

微机原理课程设计报告-数字时钟的实现(附代码)

合肥工业大学 计算机与信息学院 课程设计 课程:微机原理与接口技术设计专业班级:计算机科学与技术x班学号: 姓名:

一、设计题目及要求: 【课题6】数字时钟 1.通过8253 定时器作产生秒脉冲定时中断。在中断服务程序中实现秒、分、小时的进位(24小时制)。 2.在七段数码管上显示当前的时分秒(例如,12 点10 分40 秒显示为121040)。 3.按“C”可设置时钟的时间当前值(对准时间)。 二、设计思想: 总体思想: 1、功能概述: 实验箱连线: 本实验建立在Dais实验箱基础上完成的基本连线及程序如下: 138译码器: A,B,C,D,分别连接A2,A3,A4,GS; y0连接8253的CS片选信号; y1连接8259的CS片选信号; 8253连线: 分频信号T2接8253的CLK0; 8253的OUT0接8259的IR7; 8253的gate信号接+5V; 8259连线: 8259的数据线接入数据总线;

本程序包括显示模块,键盘扫描模块,时间计数模块,设置模块等几个模块, (1)程序运行后,LED显示000000初始值,并且开始计数 (2)按C键进行设置初始时间,考虑到第一个数只能是0,1,2,当第一个数显示2时第二个数只能显示0~4,同理下面各位应满足时钟数值的合理的取值; (3)在手动输入初始值时,按D键进行回退1位修改已设置值,连续按D键可以全部进行删除修改。 2、主程序设计 主程序中完成通过调用子程序完成对8253及8259的初始化,对8259进行中断设置。主要在显示子程序和键盘子处理程序之间不断循环,8253每一秒给8259一个刺激,当8259接受到刺激后会给CPU一个中断请求,CPU会转去执行中断子程序,而中断子程序设置成时间计数加,即完成电子表的整体设计。详细流程图见图三-1。 3、LED显示子程序设计 本程序显示部分用了6个共阳极LED作为显示管,显示程序要做到每送一次段码就送一次位码,每送一次位码后,将位码中的0右移1位作为下次的位码,从而可以实现从左到右使6个LED依次显示出相应的数字。虽然CPU每隔一定时间便执行显示程序,但只要这个时间段不太长,由于人眼的视觉作用,就可以在6个LED上同时见到数字显示。 4、键盘扫描子程序设计 本程序需要用键盘对时间的初始值进行设置,因此对键盘扫描的子程序需要满足的功能如下: 判断是否是C键,若不是就返回至主程序,若是C键就开始对时间初始值进行设置,同时因注意到第一个值不可以超过2,第一个数是2时第二数不能超过4,余下的同理要满足时间数值的取值范围呢,若不是合法输入不予反应继续等待输入。当遇到输入数值错误时可以按下D键进行删除一位重新设置;当6位初始值全部设置成功后,电子表将自动开始走表。 5、时间运算子程序设计 该子程序的主要功能是对时、分、秒的运算,并把运算出的最终结果存到事先已经开辟

数字电子钟实验报告

咸阳师范学院物理与电子工程学院 课程设计报告 题目: 班级: 姓名: 学号: 指导教师: 成绩: 完成日期:年月

目录 第一章概述 3 第二章数字电子钟的电路原理 4 第三章电路调试与制作11 第四章总结与体会12 第五章附录13

第一章概述 数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 虽然市场上已有现成的数字集成电路芯片出售,价格便宜,使用方便,这里所制作的数字电子可以随意设置时,分的输出,是数字电子中具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。 课程设计目的 (1)加强对电子制作的认识,充分掌握和理解设计个部分的工作原理、设计过程、选择芯片器件、电路的焊接与调试等多项知识。 (2)把理论知识与实践相结合,充分发挥个人与团队协作能力,并在实践中锻炼。 (3)提高利用已学知识分析和解决问题的能力。 (4)提高实践动手能力。

第二章数字电子钟的电路原理 数字电子钟的设计与制作主要包括:数码显示电路、计数器与校时电路、时基电路和闹铃报时电路四个部分。 1.数码显示电路 译码和数码显示电路是将数字钟的计时状态直观清晰地反映出来。显示器件选用FTTL-655SB双阴极显示屏组。在计数电路输出信号的驱动下,显示出清晰的数字符号。 2.计数器电路 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。 3.校时电路 数字钟电路由于秒信号的精确性和稳定性不可能做到完全准确无误,时基电路的误差会累积;又因外部环境对电路的影响,设计产品会产生走时误差的现象。所以,电路中就应该有校准时间功能的电路。通过手动调节按键,达到校准的目的。 4.定时报警电路 当调好定时间后并按下开关K1(白色键),显示屏右下方有红点指示,到定时时间有驱动信号经R3使VT1工作,即可定时报警输出。 芯片资料 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。作为时钟,它准确醒目;作为控制开关,它动作无误;在1小时59分钟或59分钟内,能任意暂停,使用十分方便。 仔细观察从0-9的每个数字并比较图1所示的笔段。内部电路参看图2, LM8560各脚功能,参看图3。

新型多功能电子闹钟设计毕业设计论文

本科生毕业设计(论文)

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在

不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

2009届 本科毕业设计(论文)资料第一部分毕业论文

(2009届) 本科毕业设计(论文) 新型多功能电子闹钟设计 2009年6月

摘要 本文提出了一种基于AT89C51单片机的新型多功能电子闹钟。通过对设计方案的比较与论证,选择了适合本设计的时钟模块、闹铃模块、温度检测模块、键盘及显示模块、电源模块设计方案。其中实时时钟采用DS12C887实现年月日时分秒等时间信息的采集和闹钟功能;温度检测模块由DS18B20集成温度传感器对现场环境温度进行实时检测;键盘和数码管与ZLG7289连接,通过键盘数码管可方便地校对时钟和设置闹钟时间;用蜂鸣器进行声音指示;采用7805 三端稳压集成芯片稳定输出5V直流电压。通过对AT89C51单片机最小系统的原理分析,结合论文的设计要求,完成了系统流程图及系统程序的设计。 本设计可实现时间显示、闹钟设置、环境温度测量、交直流供电电源等功能。 关键词:单片机,电子闹钟多功能设计,温度检测,交直流供电

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

8086数字时钟课程设计报告

INTEL 8086/8088系列微型计算机 原理及接口技术 课程名称:微型计算机原理及接口技课程设计 设计题目:具有年月日时分秒功能的时钟显示 院系:电气工程学院 班级: 设计者: 学号: 同组者: 指导教师: 设计时间:

目录 一、设计内容与要求 (2) 二、设计思路 (3) 三、流程图 (4) 四、程序 (7) 五、运行结果 (12) 六、硬件设计………………………………………………………………………………………………… 七、调试步骤 (13) 八、心得体会 (14) 九、参考文献 (14)

一、设计内容与要求: 用汇编语言编写一个时钟程序,在微机屏幕上显示当前时间****年**月**日**时**分**秒。在程序启动后,微机屏幕上显示当前时间,按下a键开始计时,按下b键停止计时,按下c键退出程序。 二、设计思路: 利用BIOS的INT 1AH的2号系统功能调用,将计算机系统的时间参数(BCD 码)送入寄存器。其中CH 和CL中保存的是小时数和分钟数;DH中保存的是秒钟数。 利用除法命令DIV,若进行字节操作,16位被除数隐含在AX中,8位除数就是源操作数,结果的8位商在AL中,8位余数在AH中,即 (AL)(AH)/(SRC)的商 (AL)(AH)/(SRC)的余数 若进行字操作,32位被除数低16位隐含在AX中,高16位8位隐含在DX中,16位除数就是源操作数,结果的16位商在AX中,16位余数在DX中,即(AX)(DX,AX)/(SRC)的商 (DX)(DX,AX)/(SRC)的余数 通过以上方法将二进制表示的年月日时分秒转换为BCD码,再将BCD码表示的时,分,秒转换成AS CⅡ码并送入屏幕显示。能调用子程序,若显示时间与当前时间不符,则校准显示时间,并用INT 21H 的7号功能调用判断输入回车并清屏。若输入字符C,则令SIGN=3,即退出程序,若无输入或输入字符不是C,则反复从系统中取出当前时间并显示。 详细过程见程序注释。

毕业设计:基于单片机的电子日历时钟

一课程设计题目:电子日历时钟 二实现的功能: 基本功能: (1)显示北京时间,并且能够校准时间; (2)程序使用汇编语言; (3)显示的时、分、秒之间以及年、月、日间以小数点分隔;(4)显示公历日期,并且能够校准日期; 发挥功能: (5)运动秒表; (6)闹钟功能; (7)自动整点报时。 三课程设计的目的: 课程标志性内容的设计理解和综合运用,对所学内容进行一次实操,学以致用。 四、设计方案说明 1、硬件部分 (1)采用6位LED数码管显示日期或者时间。 (2)显示器的驱动采用“动态扫描驱动”,且采用“一键多用”的设计方案,系统电路大为简化。使用小数点表示闹 钟设置状态; (3)电路连接使用PCB,使电路连接简洁美观

2、软件部分 (1)“时钟”基准时间由单片机内部的定时中断提供,考虑因素:定时时间是“秒”的整除数,且长短适宜。最长不 能超过16位定时器的最长定时时间;最短不能少于中断服 务程序的执行时间。基准时间越短,越有利于提高时钟的 运行精确度。基准时间定为0.05秒。 (2)用一个计数器对定时中断的次数进行计数,由基准时间为0.05秒知计数值为20即可实现实现“秒”定时,同理 进行“分”﹑“时”定时,以及“日”﹑“月”﹑“年” 定时。 (3)LED 数码管显示器采用“动态扫描驱动”考虑问题:驱动信号的维持时间必须大于“起辉时间”(电流大起辉时间 短),而驱动信号的间歇时间必须小于“余辉时间”(电流 大余辉时间长),但驱动电流大小受硬件电路能力和LED 数码管极限功耗的制约。 (4)动态扫描显示方式在更新显示内容时,考虑到因LED数码管余辉的存在可能会造成显示字符的模糊,所以新内容 写入显示器之前将所有的LED数码管熄灭。 (5)关于自动识别“月大﹑月小”和“平年﹑润年”问题的考虑 a)月大和月小 2月另外计算;

单片机综合实验报告51电子时钟

一、实验内容: 设计一个数字时钟,显示范围为00:00:00~23:59:59。通过5个开关进行控制,其中开关K1用于切换时间设置(调节时钟)和时钟运行(正常运行)状态;开关K2用于切换修改时、分、秒数值;开关K3用于使相应数值加1调节;开关K4用于减1调节;开关K5用于设定闹钟,闹钟同样可以设定初值,并且设定好后到时间通过蜂鸣器发声作为闹铃。 选做增加项目:还可增加秒表功能(精确到0.01s)或年月日设定功能。 二、实验电路及功能说明 1602显示器电路(不需接线) 电子音响电路 按键说明: 按键键名功能说明 K1 切换键进入设定状态 K2 校时依次进入闹钟功能是否启用,闹钟时,分秒, 年,月,日及时间时,分,秒的设置,直到退出 设置状态 K3 加1键调整是否起用闹钟和调节闹钟时,分,秒, 年,月,日,时间的时,分,秒的数字三、实验程序流程图:

四、实验结果分析 定时程序设计: 单片机的定时功能也是通过计数器的计数来实现的,此时的计数脉冲来自单片机的内部,即每个机器周期产生一个计数脉冲,也就是每经过1个机器周期的时间,计数器加1。如果MCS-51采用的12MHz晶体,则计数频率为1MHz,即每过1us的时间计数器加1。这样可以根据计数值计算出定时时间,也可以根据定时时间的要求计算出计数器的初值。MCS-51单片机的定时器/计数器具有4种工作方式,其控制字均在相应的特殊功能寄存器中,通过对特殊功能寄存器的编程,可以方便的选择定时器/

计数器两种工作模式和4种工作方式。 定时器/计数器工作在方式0时,为13位的计数器,由TLX(X=0、1)的低5位和THX的高8位所构成。TLX低5位溢出则向THX进位,THX计数溢出则置位TCON中的溢出标志位TFX. 当定时器/计数器工作于方式1,为16位的计数器。本设计师单片机多功能定时器,所以MCS-51内部的定时器/计数器被选定为定时器工作模式,计数输入信号是内部时钟脉冲,每个机器周期产生一个脉冲使计数器增1。 实时时钟实现的基本方法: 这次设计通过对单片机的学习、应用,以A T89S51芯片为核心,辅以必要的电路,设计了一个简易的电子时钟,它主要通过51单片机综合仿真实验仪实现,通过1602能够准确显示时间,调整时间,它的计时周期为24小时,从而到达学习、设计、开发软、硬件的能力。主要实现功能为显示时间,时间校准调时(采用手动按键调时),闹铃功能(设置定时时间,到点后闹铃发出响声)。通过键盘可以进行校时、定时。闹铃功能使用I/O 口定时翻转电平驱动的无源蜂鸣器。本文主要介绍了工作原理及调试实现。 四个按键K1、K2、K3、K4、一个蜂鸣器。 1602显示时钟、跑表。 时钟的最小计时单位是秒,但使用定时器的方式1,最大的定时时间也只能达到131ms。我们可把定时器的定时时间定为50ms。这样,计数溢出20次即可得到时钟的最小计时单位:秒。而计数20次可以用软件实现。 秒计时是采用中断方式进行溢出次数的累积,计满20次,即得到秒计时。从秒到分,从分到时是通过软件累加并进行比较的方法来实现的。要求每满1秒,则“秒”单元中的内容加1;“秒”单元满60,则“分”单元中的内容加1;“分”单元满60,则“时”单元中的内容加1;“时”单元满24,则将时、分、秒的内容全部清零。 实时时钟程序设计步骤: 先对系统进行初始化,如:LCD1602初始化,DS1302初始化等,然后才能进入主显示模块,即可在LCD1602上看到相应的信息。对于LCD1602的初始化,主要是对开启显示屏,清屏,设置显示初始行等操作。DS1302的初始化主要是先开启写功能,然后写入一个初始值。 本系统采用的是LCD1602液晶显示器,由于其是本身带有驱动模块的液晶屏,所以对于LCD1602操作程序可分为开显示、设置显示初始行、写数据和清屏等部分。LCD1602的写命令程序和写数据程序分别以子程序的形式写在程序里,以便主程序中的调用。 (1)选择工作方式,计算初值; (2)采用中断方式进行溢出次数累计; (3)计时是通过累加和数值比较实现的; (4)时钟显示缓冲区:时钟时间在方位数码管上进行显示,为此在内部RAM中要设置显示缓冲区,共6个地址单元。显示缓冲区从左到右依次存放时、分、秒数值; (5)主程序:主要进行定时器/计数器的初始化编程,然后反复调用显示子程序的方法等待中断的到来; (6)中断服务程序:进行计时操作; (7)加1子程序:用于完成对时、分、秒的加操作,中断服务程序在秒、分、时加1时共有三种条调用加1子程序,包括三项内容:合字、加1并进行十进制调整、分字。 程序说明: 按K1按键进入设定状态 按K2,依次进入闹钟功能是否启用,闹钟时,分秒,年,月,日及时间时,分,秒的设置,直到退出设置状态按K3,调整是否起用闹钟和调节闹钟时,分,秒,年,月,日,时间的时,分,秒的数字 LCD第二排中间显示小喇叭,表示启用闹钟功能,无则禁止闹钟功能(可在调整状态进行设置)正常状态,LCD上排最前面显示自定义字符,LCD下排最前面闪动"_" 设置状态,LCD上排最前面显示"P",下排最前面在设置闹钟时间时显示"alarm_",其它状态显示

8086数字电子钟的设计 附程序代码

附件3 课程设计(综合实验)报告 ( 2011 -- 2012 年度第 1 学期) 名称:硬件课程与实践 题目:8086数字电子钟的设计 院系: 班级: 学号: 学生姓名: 指导教师: 设计周数:2周 成绩: 日期:2011年09月01日

一、课程设计的目的与要求 1. 综合运用学过的相关软、硬件知识,利用伟福LAB6000实验设备,设计具有实用功能的电子钟,实现电子时钟的准确运行、校时等功能。 2.熟悉伟福LAB6000的功能,掌握数码管设计和键盘设计的要领及相关技术,能够利用实验设备实现电子钟系统的设计。 3.提高自己的硬件设计分析能力,同时培养软硬结合的系统设计思维,从而提高设计系统的可行性和准确性。 二、课程设计正文 A.设计题目:8086数字电子钟的设计: 1.设计并完成LED七段数码管数字钟电路。 2.数字钟显示格式为:HH:MM:SS。 3.具有通过键盘能够调整时、分、秒及设置闹铃的功能。 4.具有暂停时间及复位功能。 B.设计方案 本设计采用LAB6000伟福仿真实验箱,利用4MHz脉冲信号源和多级分频电路产生脉冲信号,4MHz脉冲信号经过F/64分频后得到62.5KHz脉冲信号,将脉冲信号传递给8253定时器,定时器每0.000016秒中断一次,在中断服务程序中对中断次数进行计数,0.000016秒计数62500次就是1秒,然后在中断服务程序中对秒计数得到分和小时值并判断闹铃是否到时。编写键盘扫描和LED显示程序完成设置时间、定闹铃及数码管显示功能。 C.硬件原理 1.七段数码管显示和键盘扫描显示 图1.1七段数码管

图1.2伟福实验台六位LED的电路图及寻址空间 实验箱提供了6位八段数码LED显示电路,只要按地址输出相应数据,就可以实现对显示器的控制。将KEY/LED CS接到CS0上,则实验箱中八位段码输出地址为08004H,位码输出地址为08002H。

数字电子时钟实验报告

一、设计题目与要求 设计题目:多功能数字钟 设计要求: 1.准确计时,以数字形式显示机器人行走的时、分、秒的时间。 二、设计原理 1数字钟的组成部分 ⑴555定时器组成的方波发生电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号,可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路分成三个模块,时,分,秒:时用24进制计数器实现;分,秒用60进制计数器实现。 ⑶译码显示电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态,并在显示电路显示相应系数。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、R2,电容C1、C2 构成一个多谐振荡器,利用电容的充放电来调节输出V0,产生矩形脉冲波作为时钟信号,因为是数字钟,所以应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元

六片74LS90 芯片构成计数电路,按时间进制从右到左构成从低位向高位的进位电路,并通过译码显示。在六位LED 七段显示起上显示对应的数值。 三、元器件 1.实验中所需的器材. Vcc 5V 电源?. 共阴七段数码管6 个?. 74LS90D 集成块6 块?. 74HC00D 6个以及其他元件 LM555CM 1个 电阻 6个 10uF 电容 2个 2.芯片内部结构及引脚图

图2 LM555CM集成块 图374LS90D集成块 五、各功能块电路图 1秒脉冲发生器主要由555 定时器和一些电阻电容构成,原理是利用555 定时器的特性,通过电容的充放电使VC 在高、低电平之间转换。其中555 定时器的高、低电平的门阀电压分别是2/3VCC 和1/3VCC 当电容器充电使VC 的电压大于2/3VCC 则VC 就为高电平,然 而由于反馈作用又会使电容放电。当VC 小于1/3VCC 时,VC 就为低电平。同样由于反馈作用又会使电容充电。通过555 定时器的这一性质我们就可以通过计算使他充放电的周期刚好为1S这样我们就会得到1HZ 的信号。其中555 定时器的一些功能对照后面目录。其中555 定时器组成的脉冲发生器电路见:方波发生器的部分。

相关主题