搜档网
当前位置:搜档网 › Wifi射频电路的设计与调试

Wifi射频电路的设计与调试

Wifi射频电路的设计与调试
Wifi射频电路的设计与调试

一:WiFi产品的一般射频电路设计(General RF Design In WiFi Product)

这篇文章是我结合多年的工作经验和实践编写而成的,具有一定的实用性,希望能够对大家的设计工作起到一定的帮助作用。

I. 前言

这是一篇针对性很强的技术文章。在这篇文章中,我只是分析研究了Wi-Fi产品的一般射频电路设计,而且主要分析的是Atheros 和Ralink的解决方案,对于其他厂商的解决方案并没有进行研究。

这是一篇针对性很不强的技术文章。在这篇文章中,我研究,讨论了Wi-Fi产品中的射频电路设计,包括各个组成部分,如无线收发器,功率放大器,低噪声放大器,如果把这里的某一部分深入展开讨论,都可以写成一本很厚的书。

这篇文章具有一般性。虽然说这篇文章主要分析了Atheros和Ralink的方案,但是这两家厂商的解决方案很具有代表性,而且具有很高的市场占有率,因此,大部分Wi-Fi 产品也必然是具有一致或者类似的架构。经常浏览相关网站的人一定知道,在中国市场热卖的无线路由器,无线AP很多都是这两家的解决方案。这篇文章具有一定的实用性。这篇文章的编写是基于我们公司的二十余种参考设计电路,充分吸收了参考设计的精华,并提取其一般性,同时,本文也重在分析实际的电路结构和选择器件时应该注意的问题,并没有进行深入的理论研究,所以,本文具有一定的实用性。

这篇文章是我在自己的业余时间编写的(也可以说我用这种方式消磨时间),如果这篇文章能够为大家的工作带来一点帮助,那将是我最高兴的事。我平时喜欢关注一些业界的新技术新产品,但是内容太多,没有办法写在文章中,感兴趣的同事可以访问我的博客:https://www.sodocs.net/doc/782335931.html,。

由于时间有限,编写者水平更加有限,错误之处在所难免,欢迎大家批评指正。

第1章. 射频设计框图

做技术的,讲解某个设计的原理时,都会从讲解框图开始,本人也不例外,先给大家展示一下Wi-Fi产品的一般射频设计框图。

图1-1 Wi-Fi产品的一般射频设计框图

如图1-1所示,一般Wi-Fi产品的射频部分由五大部分组成(这是我个人的见解,不同的工程师可能会有不同的想法),蓝色的虚线框内统一看成是功率放大器部分。无线收发器(Radio Transceiver)一般是一个设计的核心器件之一,除了与射频电路的关系比较密切以外,一般还会与CPU有关,在这里,我们只关注其与射频电路相关的一些内容。发送信号时,收发器本身会直接输出小功率的微弱的射频信号,送至功率放大器(Power Amplifier,PA)进行功率放大,然后通过收发切换器(Transmit/Receive Switch)经由天线(Antenna)辐射至空间。接收信号时,天线会感应到空间中的电磁信号,通过切换器之后送至低噪声放大器(Low Noise Amplifier,LNA)进行放大,这样,放大后的信号就可以直接送给收发器进行处理,进行解调。

在后续的讲解中,我会将图1-1中的各个部分逐个展开,将每一个都暴露在大家眼前,也会详细讲解每一部分的设计,相信大家在认真仔细的阅读这篇文档之后,就可以对射频的各个组成部分有一个比较清晰的认识。

第2章. 无线收发器

我把无线收发器(在本章的以下内容中简称收发器)放在了第一个模块,主要原因就是因为,它一般会是一个设计的核心器件之一,有的时候还可能集成在CPU上,就会是一个设计中的最重要的芯片,同时,理所当然,收发器的重要性决定了它的外围电路必然很复杂,实际上也是如此。而且,如果没有参考设计,完全由我们自主设计的时候,这颗芯片也是我们应该放在第一优先的位置去考虑,这颗芯片从根本上决定着整个设计的无线性能。这样,这一部分的设计讲解起来会比较困难,可是还是想最先讲解这里。

收发器通常会有很多的管脚,在如图2-1中,我只给出了射频电路设计时会关注的管脚,可以看到,有几个电源管脚,数字地,模拟地,射频输出,功率放大器增益控制,功率检测,温度检测,射频输入,低噪声放大器增益控制,发射、接收切换等管脚,在接下来的内容中,我会把这些管脚分模块逐个讲解。

图2-1 一般的无线收发芯片(射频电路设计相关)

2.1. 无线收发器芯片的技术参数

不同的设计,收发器一般会很不一样,我们大多数时候都不会想着去更换它。一般我们选用收发器,会直接按照参考设计进行,尽管如此,我还是像从一个研发人的角度出发,说一说,在选择无线收发器时应该关注的一些参数(射频电路相关的参数)。

2.1.1. 协议,频率,通路与传输速率

在收发器的Datasheet中,一般会在开始的几段话中就指出该芯片支持哪些协议,工作在什么频率上,几条通路(也就是几发几收),我们公司目前的主打产品设计都是支持802.11n的。这三项参数的重要性想必不用我说,大家也应该体会得到,它们参数决定着最终的产品的功能。

一段典型的描述如:The Atheros AR9220 is a highly integrated single-chip solution for 2.4GHz and 5GHz 802.11n-ready wireless local area network (WLANs) that enables high-performance 2×2 MIMO configurations for wireless stations applications demanding robust link quality and maximum throughput and range.

从这段描述中,我们可以知道,AR9220支持802.11n草案(一般来说都会兼容802.11b/g)。同时,AR9220也支持双频,2.4GHz和5GHz,这样,我们就可以得知,它也支持802.11a。2×2 MIMO说明AR9220是二发二收(2T2R)。

传输速率和协议及通路密切相关,感兴趣的同事可以查阅相关资料。

从AR9220的Datasheet中我们可以得知,20MHz带宽,最高传输速率可以达到130Mbps,40MHz带宽时,最高的传输速率可以达到300Mbps。

2.1.2. 调制方式

调制方式和传输速率是密切相关的,不同的传输速率对应着不通的调制方式。芯片支持的调制方式一般会在Datasheet的特性描述中给出。例如,AR9220支持的调制方式有BPSK,QPSK,16QAM,64QAM,DBPSK,DQPSK,CCK。

2.1.

3. 时钟频率

时钟频率,时钟频率包括两种,收发器外接晶振的频率和内部倍频后的工作频率,这项参数同样应该是我们关注的。

2.1.4. 输出功率

有一个现象我一直也弄不清楚,为什么在收发器的Datasheet中不给出其发射功率?这项参数对于我们RF 工程师是很重要的,因为这项参数决定着后续功率放大电路的设计,我们要保证收发器的输出功率足以驱动功率放大器,这样,我们才能够设计合理有效的放大器。

2.1.5. 接收灵敏度

和输出功率一样,收发器接收灵敏度这项参数也不会在Datasheet中给出,在实际的设计过程中,有了这项参数,我们才能合理地设计低噪声放大器的放大倍数,才能保证低噪声放大器的输出可以被收发器有效的接受。

2.1.6. 射频接口

这项参数关系着我们后续的射频电路的结构。一般来说,收发器应该具有的射频输入管脚包括:射频输出管脚,功率放大器增益控制管脚,功率放大器输出功率检测输入管脚,低噪声放大器增益控制管脚,切换器收发控制管脚,一般Ralink的方案还会有PA温度检测管脚。

2.1.7. 供电电压与功耗

从全局的角度看,供电电压与功耗同样会是我们不得不关注的技术参数,这两项参数关系着电源电路的设计和散热的设计。

2.2. 差分射频信号的处理

2.2.1. 收发器本身具有的管脚

对于射频信号,为了增强收发器的抗干扰能力,一般会采用差分信号的处理方式,也就是说,收发器会以差分形式将信号发送出去,同时外部电路也必须为收发器提供差分射频信号的输入。如图2-2所示,红色方框内的四只管脚就是这个收发器的差分射频信号的输入,输出管脚,也是最重要的射频信号管脚。

图2-2 收发器的射频输入与输出管脚

这里必须指出的是,Atheros的收发器一般会同时对输入与输出做差分处理。但是Ralink一般要求外部输入的信号是差分的,而自身输出的射频信号则不是差分的。图2-3和图2-4分别给出了RT3052(Ralink)和AR9220(Atheros)的主要射频信号管脚。不难发现,Atheros的设计相比Ralink要更加细腻,不只是收发器芯片,在后续电路的设计中,也会发现,Atheros考虑的问题很周全,我想,这也是我们作为研发人应该具备的一种精神。

图2-3 RT3052的主要射频信号管脚

图2-4 AR9220的主要射频信号管脚

2.2.2. 收发器发送的差分信号

收发器发送的差分信号,我们要想办法把他们合二为一。为什么要这样做,收发器送出的信号是要给功率放大电路的,功率放大电路处理的是单端信号。

平衡器通常用来处理差分信号的问题,除此之外,我们知道,电感和电容都能够改变信号的相位,从差分信号到单端信号,基本的方法就是用电感和电容组成两条不同的通路,这样,经过处理电路的两路信号就在相位上相差了180°,从而可以使原本相位相差180°的差分信号同相,得到单端信号。相反,使单端信号通过两条不同的通路,就得到了差分信号。

下面让我们来分别看一下这两种方法的电路形式。

方法一,使用平衡器。原本相位相差180°的差分信号经过平衡器(Balun,俗称巴伦),就可以得到合二为一的单端射频信号。如图2-5所示,图中的F1就是一个平衡器,差分信号RFOUT_P和RFOUT_N经过F1得到单端信号RF_OUT。

图2-5 典型的平衡电路

方法二,使用分立元件。典型的使用分立元件的处理电路如图2-6所示。

图2-6 典型的分立元件处理电路

2.2.

3. 平衡器的参数与选择

在Atheros的方案中,平衡器往往使用的很多,我在这里给出平衡器的主要参数和简要的选型指南。如前所述,在我们的Wi-Fi产品中,平衡器常用于处理差分信号,其主要的参数如下:

不平衡阻抗

平衡阻抗

工作频率

不平衡端口回波损耗

相位变化

插入损耗

例如,常用的平衡器HHM1711D1典型参数如图2-7所示。这样我们在设计是就可以根据我们的需求选择合适的平衡器了。

图2-7 HHM1711D1的典型参数

2.2.4. 收发器接收的差分信号

收发器接收的信号来自于前端的低噪声放大器,和功率放大器一样,低噪声放大器处理的也是单端射频信号,这样,我们必须将低噪声放大器输出的信号进行转换。同样,对于低噪声放大器的输出信号同样有两种处理方式:使用平衡器和使用分立元件。Atheros的方案中,有些使用平衡器;Ralink的方案中,至今还没有使用过。

其实大家也一定想到了,收发器接收信号和收发器发送信号差不多就是互为逆过程,因此电路的结构也差不多是相反的。没错,看了下面的实际电路图就知道了。

先来介绍使用平衡器的方案。在某实际案例中,采用了如图2-8所示的平衡器电路。单端信号RF_IN经过平衡器F5后得到差分的射频信号RFIN_P和RFIN_N。

图2-8 某案例采用的平衡器电路

再来看看采用分立元件实现的方法,图2-9是Ralink惯用的方式,图2-10是Atheros常用的处理方式。可以看出,这两种设计方法大同小异。

图2-9Ralink常用的分立元件信号处理方式

图2-10 Atheros常用的分立元件信号处理方式

2.3. 收发器的电源管脚

收发器一般会有很多个电源管脚,可以大概分为几类,从图2-2也可以看出来,一般会具有主电源管脚,核电压电源管脚,IO电源管脚,锁相环(Phase Lock Loop,PLL)电源管脚等。

在射频电路设计中,我们一般会比较关注的是模拟电源。对于射频电路的供电,如果让我在线性稳压电源(LDO)和开关电源(DC/DC)之间选择,那么我会毫不犹豫的选择线性电源。

为什么?和开关电源有仇?的确有仇!

直到现在我还清晰得记着在大学里面的遭遇。一次我为某高校设计一款校园广播设备,考虑到校园广播的较大的输出功率,对电源的要求也就比较苛刻,我到科技市场转了一圈发现了一款做工精良的开关电源,当时我就被这个家伙华丽的外表欺骗了,毫不犹豫地买了下来。可是当我完整设计后,接通电源,从收音机里面传来的不是悦耳的音乐声,而是令人极度反感的“嗡嗡”声,巨大的交流声。为了解决这个问题,我几乎绞尽脑汁,把有可能造成问题的部分都重新设计了,可是问题依然没有解决。后来,我突然意识到:“是不是开关电源的问题?”刚好手头有一台车载电台的电源(大功率线性稳压电源),当我把这个电源接上去之后,哇,整个世界都安静了!开关电源害得我不但损失了一些钱,还浪费了我大量的时间,从那以后,

我的设计再也没用过开关电源。

对于收发器的电源管脚,通常的处理方法就是在每个电源的管脚处都放置一个0.1uF的电容,耗电比较大的管脚旁,需要放置更大容量的电容,1-10uF或者更大。一般来说,收发器的模拟电源供电和数字电源供电要用电感或者磁珠隔开,并且一定要在电感或磁珠后放置容量比较大的电容,如果条件允许的话,最好放置电解电容,会对电源的性能起到很大的提升作用,同时并联几个容量比较小的瓷片电容,就可以滤除不同频率的交流成分。

2.4. 收发器完整的外围电路设计

回想一下,我们在前面的叙述中讲解了如何选择收发器,收发器相关的差分信号处理,收发器的电源供给,这三方面的内容基本上较完整的覆盖了收发器射频电路设计的内容,也就是说,把这三部分弄清楚,基本上就完成了这部分的设计。

想必大家应该比较清楚那三部分的结构了,好,让我们来试一下,在图2-2那个芯片的外围放置一些器件,再连上几条线,完成无线收发器及其外围电路设计。在这里,我们对收发器输出的差分信号用平衡器处理得到单端信号RFOUT,来自低噪声放大器的接收信号RFIN用分立元件处理得到差分信号RFIN_P,RFIN_N。这样,就得到了如图2-11所示的原理图。

图2-11 完整设计的无线收发器外围电路

第3章. 功率放大器

功率放大器,Power Amplifier,俗称PA,主要的作用就是将无线收发器(Radio Transceiver)送来的射频信号进行功率放大,保证有足够大的输出功率满足设计需求。功率放大器的设计是一个十分专业的话题,也有很多人,很多高级的射频工程师在这方面进行过十分深入的研究,我在这里只针对我们的Wi-Fi产品的常用的设计方法进行讨论。

我们的产品中,功率放大器的组成无非就是一颗芯片配上几颗外围的器件,但是在大功率的场合,几乎不会有人用集成电路去做功率放大,一般都是用分立元件设计出来的,晶体管或场效应管。在我们目前的所有设计中,功率放大器都是用集成电路来实现的。如图3-1所示,是通常的功率放大器的设计框图。

图3-1 功率放大器的框图

功率放大器的设计会考虑很多参数,但主要分为三类:增益,噪声,非线性。增益,和最终的输出功率有关,噪声和非线性关系着信号质量。

我在这里把功率放大器(在本章的以下内容中简称功放)分为以下几个部分进行讨论:功放芯片的选择,功放芯片的供电,输入回路,输出回路,功率检测,增益控制,温度检测。

3.1.1. 功放芯片的管脚

功放芯片属于微波功率器件的范畴,图3-2给出了一个典型的功放芯片的原理图符号,包括以下管脚:VCC 主电源供电管脚

VC1 一级功率放大供电管脚

VC2 二级功率放大供电管脚

RFIN 射频信号输入管脚

RFOUT 射频信号输出管脚

GAIN_1 增益控制管脚之一

GAIN_2 增益控制管脚之二

POWER_DETECT 内建功率检测输出管脚

图3-2 典型的功放芯片

值得注意的是,GAIN_1和GAIN_2是来自收发器(Transceiver)的控制信号,是直流电压,POWER_DETECT是功放芯片输出的发射功率检测值,也是直流电压,而RFIN和RFOUT是最重要的射频信号管脚。

3.1.2. 功放芯片的主要厂商

在市场上的产品中,功放芯片的供应商基本上就是这四家:SiGe,SST,Microsemi,Richwave,表3-1,表3-2给出了几个实际项目中所采用的功放芯片的型号。

表3-1 Atheros的设计中采用的功放芯片

表3-2 Ralink的设计中采用的功放芯片

通过以上表格,我们很容易发现,Atheros很喜欢Microsemi的芯片,而Ralink则比较喜欢Richwave和SST的,在BCM4323这个项目中,使用的功放芯片是SiGe的,在AP96现在的设计中,使用的也是SiGe 的Frontend Module。

3.1.3. 功放芯片的主要参数

功放芯片的选择是一个复杂的过程,在实际的选择过程中,我们一般会考虑如下的几项参数:

工作频率

小信号增益

最大线性输出功率

1dB压缩点输出功率

误差向量幅度(EVM)

相邻信道功率比(ACPR)

噪声系数

是否内建功率检测功能

是否内建增益控制功能

供电电压

消耗的电流

以上的这些参数,并不是在每颗功放芯片的Datasheet中都会完整给出,有些Datasheet只能给出部分参数。各项参数的意义想必大家都很清楚,我在这里就不做过多的解释了。一个典型的功放芯片的Datasheet (片段)如下:

2.3-2.5GHz Operation

Single Positive Supply Voltage Vcc = 3.3V

Power Gain ~ 27dB

Quiescent Current ~ 90mA

EVM ~ -30dB at Pout = +19dBm

Total Current ~ 150mA for Pout = +19dBm

Pout ~ +26dBm for 11g OFDM Mask Compliance

Total Current ~220mA for Pout = +23dBm 1 Mbps DSSS

On-Chip Input Match

Simple Output Match

Robust RF Input Tolerance > +5dBm

Small & Low-Cost 3x3x0.9mm3 MLP Package

Cost Reduction over LX5510, LX5510B

从以上的叙述中我们了解到,这颗功放芯片的工作频率是2.3-2.5GHz,采用3.3V单电源供电,静态工作电流是90mA,19dBm功率输出时,EVM的值是-30dB,等等。

功放芯片的性能很重要,当然,在满足性能的前提下,我们会选择最便宜的

3.2. 功放芯片的供电

图3-2展示的一般功放芯片有三个电源管脚,分别是VCC,VC1,VC2,其中的VCC是主电源供电,VC1是芯片内部第一级放大的供电,VC2是芯片内部第二级放大的供电。这里有个很重要的问题需要注意,VC1和VC2 不是简单的供电管脚,这两个管脚通常不会直接连接到电源上,一般会串联一个电感(或者电阻)再连接到电源上,为什么呢?这是因为这是为芯片内的功率晶体管(或场效应管)供电的管脚,通常在分离元件组成的功率放大电路中,我们都会看到在晶体管的集电极(或者场效应管的漏极)上都串有电感,而电感是不容易集成到芯片中的,这样,就需要在芯片的外部放置电感,这样,就得到了典型的功放芯片的供电方式,如图3-3所示。

图3-3 典型的功放芯片供电方式

除了上面提到的电感的问题,另一个值得注意的就是,功放电路处理的模拟信号,是正统的模拟电路,因此需要尤其注意其电源要与数字电路的电源分开。另一个极为重要的问题是,如图3-3所示,在每个电源管脚处,都需要放置一个滤波电容组合,例如VCC管脚处放置的是100pF和1000pF的滤波电容组合,VC1管脚处是10pF的电容。滤波电容的组合形式是这样的,对于主电源管脚VCC,需要尽量多地放置不同容量的电容,而且这些电容的容量最好是不同数量级的,例如可以这样组合:10uF+1uF+0.1uF+1000pF+100pF+10pF,不同容量的电容用于滤除不同频率成分的扰动。对于VC1和VC2这两个管脚,要注意,放置的滤波电容容量要较小,通常在1-10pF。

3.3. 输入回路

功放电路的输入回路一般包括两个部分,一个是带通滤波器(Band Pass Filter,BPF),一个是∏型匹配网络,我们分开两部分来讲。

3.3.1. 带通滤波器

我们知道,2.4GHz频段的子载波有13个,频率从2.412GHz到2.437GHz,相邻两信道之间的频率间隔是500MHz,很容易理解,从收发器(Transceiver)输出的信号包括了从2.412GHz到2.437GHz这样的一个频率带,因此,为了能够使有用的信号顺利地进入功放芯片,无用的杂乱信号被滤除,一般会在功放芯片的输入回路上放置一个带通滤波器。

带通滤波器有三种实现方法,一种是使用已经设计好的专用带通滤波器,这在Ralink的方案中使用的很多;一种是使用分立元件组成的带通滤波器,这种方法用的不是很多;第三种方法几乎是Atheros专有的,就是印制带通滤波器,这种滤波器最突出的优点就是没有成本,最突出的缺点是占用的空间比较大,而且还需要净空区,在AP51中就使用了这种滤波器。

用分立元件设计带通滤波器需要复杂的计算过程,也需要较强的数学功底,我们在这里不进行过多的研究。接下来我们主要讨论如何选择一款已经设计好的带通滤波器。带通滤波器的参数并不多,主要有:

输入阻抗

输出阻抗

通频带

通频带内的衰减

通频带以外的衰减

通常情况下,成品的带通滤波器,输入和输出阻抗都会控制在50欧姆的标称值,对于通频带相关特性,一张图表足以反映出来。如图3-4给出了我们常用的HMD845H的S21参数与频率之间的关系。很明显,该带通滤波器的通频带为2.4GHz-2.5GHz,对于通频带以外的频率,衰落的很快。

图3-4 HMD845H的S21参数

3.3.2. ∏型匹配网络

匹配,这件事在射频设计中是极其重要的,很多时候,我们设计或者调试射频电路,都是在解决匹配的问题,永远记住这样一条经典的准则:共轭匹配传输功率最大。∏型匹配网络一般直接放在功放芯片的输入端,也就是放在RFIN这个管脚处,通常芯片的管脚不会匹配到50欧姆,我们也不会知道管脚的输入特性,这样的话,∏型匹配网络的必要性就可想而知了。

∏型匹配网络,顾名思义,形状很像字母∏,我们来看一下实际的∏型匹配网络。图3-5给出的是Ralink 常用的一种∏型匹配网络。

图3-5 Ralink常用的∏型匹配网络

3.3.3. 完整设计的输入回路

以上我们讨论了功放电路的输入回路的两个组成部分,带通滤波器和∏型匹配网络,有了这两个部分,我们就可以设计一个完整的输入回路了。如图3-6所示,就是一个设计完整的功放电路输入回路。图中的U9就是一款成品的带通滤波器,而C108,C109和L14就组成了一个∏型匹配网络。

图3-6 完整设计的功放电路的输入回路

3.4. 输出回路

在输出回路中,最重要的组成部分(在很多设计中也是唯一的组成部分)就是低通滤波器,这时可能有人会问,为什么这里要用低通滤波器,而不是像输入回路那样使用带通滤波器?原因很简单,这里的低通滤波器要解决的主要问题时由于功放引起的高次谐波,如二次谐波,三次谐波甚至更高次数的谐波,当然,低通滤波器还要解决的问题就是匹配问题。其实,在射频电路的设计中,匹配的这个问题会一直伴随着我们。

滤波器的设计需要很复杂的计算,在这里我不想探讨过多的理论知识,所以,我就不给出如何计算的方法,只给出一般的低通滤波器的形式。这里需要指出的是,Atheros的设计一般会使用三个元件,而Ralink一般会使用五个元件。如图3-7所示,是Ralink常用的滤波器形式。在图中,C112,C111,C113,C110和C114就组成了一个低通滤波器,来自功放芯片的信号PA_OUT经过滤波器后得到LPF_OUT这信号送至后续电路。

图3-7 Ralink常用的低通滤波器

这时,我们就可以把功放芯片的输出端与低通滤波器相连接,就得到了一般射频功率放大电路的完整的输出回路,如图3-8所示。

图3-8 完整设计的功率放大器输出回路

3.5. 功率检测

功率检测功能在我们的很多设计中都可以找到,这项功能可以使无线收发器(Radio Transceiver)时刻监视着功放电路的输出功率,这样,当功放的输出功率改变时,无线收发器就可以调整自身的输出功率或者改变功放电路的增益,使功放电路的输出功率稳定在一个固定的值。

功率检测电路输出的是直流电压值,这个电压值送给无线收发器之后,无线收发器自身内部进行A/D转换,就可以得知功放电路的输出功率了。

功率检测实现的方法通常有两种,在Ralink的设计中,通常使用功放芯片自身的功率检测功能;在Atheros 的设计中,除了使用功放芯片本身的功率检测功能之外,一般还会有一种Atheros特有的设计,我们将分成两部分讨论。

3.5.1. 芯片内建的功率检测

我们在图3-2中已经看到,一般的功放芯片会有POWER_DETECT这样的一个管脚,这个管脚的作用就是用于功率检测的。使用芯片内建的功率检测功能可以简化电路设计,常见的完整形式如图3-9所示。

图3-9 常见的使用内建功率检测功能的电路形式

3.5.2. 芯片外围的功率检测电路

我们在这里用单独的一节来讨论外围的检测电路,其实要讲的就是Atheros的方案,因为这个设计实在是太有个性了,让我们来一起见识一下吧。如图3-10所示,就是Atheros常用的功率检测方案。图中的PC1就是一个印制耦合器(Printed Coupler),来自功率放大器的输出信号LPF_OUT经过耦合器,就在2,3脚感应到高频交变电压,这个电压随着输出功率的增大而增大L18,L19,D1,C217,R248组成了常规的整流电路,这样,就得到了随着输出功率的变化而变化的直流电压POWER_DETECT,无线收发器就可以得到这个电压值从而做作出相应的动作。

这里有一点需要注意的是,整流二极管D1一定要选择工作频率很高的二极管,例如这个设计中的SMS7630的工作频率就达10GHz。

图3-10 Atheros常用的功率检测方案

3.6. 增益控制

增益控制的作用就是可以改变功放电路的增益,从而能改变输出功率。改变功率放大器最终输出功率的方法有两种,一种是无线收发器改变自身的输出功率,另外一种就是改变功放电路的增益,在这里我们主要关注后者。通常功放芯片的增益控制管脚会有两个或者两个以上,分别改变的是第一级放大和第二级放大的增益值,图3-11是典型的增益控制原理图。来自收发器的控制信号PA_GAIN经过R245和C248组成的RC滤波电路(滤除来自收发器的可能的交流成分)通过两个电阻作用于功放芯片的GAIN_1和GAIN_2两个管脚,从而控制功率放大电路的增益,也控制着最终的输出功率。

图3-11 典型的增益控制原理图

3.7. 温度检测

温度检测功能在Ralink的方案中使用的很多,但是在Atheros的方案就未曾见过。这一功能可以检测功放芯片的温度,防止芯片温度过热而烧毁。另外一个更加重要的作用就是根据环境温度调整功放电路的输出功率。很多情况下,环境温度的改变,会对功放芯片的输出功率会造成比较大的影响,如果无线收发器通过温度检测电路得知当前的温度并适当的调整自身的输出功率或者改变功放的增益,就可以使功放电路在环境温度改变时依然可保持稳定的功率输出,这对于提高产品的稳定性是有好处的。

图3-12给出的是Ralink的典型的温度检测电路。图中的RT1是热敏电阻,当环境温度改变时,自身的阻值会发生变化,这样,显而易见,TMP_DET的值就会发生变化,这样,收发器就可以检测到环境的温度了。

温度检测电路一般会放置在功放芯片的附近。

图3-12 Ralink常用的温度检测电路

3.8. 完整设计的功率放大电路

在以上的内容中,我们讨论了功率放大电路的各个组成部分,现在,让我们将这些部分组合到一起,就可以完成功率放大电路的设计了,如图3-13所示。我们来看一看,试一试能否找出之前的各部分,如果可以,那么你已经基本了解Wi-Fi产品的一般功率放大电路的架构了。

通常情况下,在功放芯片的Datasheet中会给出一份参考设计,这对于我们的设计具有一定的指导作用。

图3-13 完整设计的射频功率放大电路

第4章. 低噪声放大器

低噪声放大器在框图1-1中位于收发切换器(Transmit/Receive Switch)和无线收发器(Radio Transceiver)之间,对天线感应到的信号进行放大,这样才能使无线收发器进行有效的处理。可以说,低噪声放大器的性能直接影响着整个设计的灵敏度。

低噪声放大器的框图如图4-1所示,有四个部分组成,输入回路,输出回路,放大电路,增益控制,在以下的内容中,我们将逐个讨论。

图4-1 低噪声放大器的框图

4.1. 低噪声的放大器的主要参数

低噪声放大器,顾名思义,就可以知道其具有极低的噪声系数。噪声系数的物理含义是:信号通过放大器之后,由于放大器产生噪声,使信噪比变坏;信噪比下降的倍数就是噪声系数。

除了噪声系数以外,以下几个参数也是我们需要关注的:

功率增益

增益平坦度

工作频带

动态范围

功率增益主要就指低噪声放大器的增益能力,增益平坦度描述放大器在工作频带内频率变化引起的功率增益的波动,工作频带就是指放大器的正常工作的频率范围,动态范围是指放大器允许输入的最小和最大功

率范围。

4.2. 低噪声微波器件的选择

芯片或者晶体管(场效应管)的选择,以下简称微波器件的选择,往往对于低噪声放大器的设计起着至关重要的影响。我们先来看一看在我们公司的设计中,通常选用什么微波器件。表4-1和表4-2给出了Atheros 和Ralink常用的低噪声放大器微波器件。

我们不难发现,这些器件的选择没有太多的共性,我们能看到有四种解决方案,第一种是采用微波三极管来实现,第二种是使用专用低噪声放大器芯片,第三种是集成在前端模块(Frontend Module)中,第四种就是不使用低噪声放大器。我们在这里只讨论采用晶体管和专用芯片的方法。

表4-1 Atheros常用的低噪声放大器微波器件

表4-2 Ralink常用的低噪声放大器微波器件

微波器件(晶体管或芯片)的参数,基本上就决定了低噪声放大器的性能,我们来看一下最常用的SGA-8343的参数,如图4-2所示。图中给出的参数包括最大增益,噪声系数,S21,工作频率,供电电压,消耗的电流等等。对于专用的低噪声放大器芯片,参数也基本如此,在这里我们就不详细说了。

图4-2 SGA-8343的参数表

4.3. 输入回路

和功率放大器一样,低噪声放大器的输入回路中也会有匹配网络,但是Atheros好像是不走寻常路,很少看到低噪放的输入匹配网络,而Ralink则不一样,几乎在每个设计中都中规中矩的使用∏型匹配网络,如

图4-3所示,就是Ralink常用的∏型匹配网络,我个人是比较推崇这种做法的。有了匹配网络,我们可以最大限度的保证我们的设计是高性能的,也就是High-Performance。

图4-3 Ralink常用的∏型匹配网络

4.4. 输出回路

和输入回路一样,输出回路通常也会放置匹配网络,同样,Atheros一般还是不这样做,他们最多会放置一个专有的印制带通滤波器(Printed Band Pass Filter),Ralink的输出回路上的∏型匹配网络基本上会输入回路上的一致,在这里不给出具体的形式了。

4.5. 电源与增益控制

增益控制的作用是很明显的,当接收到的信号强度较低时,我们可以提高低噪声放大器的增益,保证信号可以正常被接收;当接收信号的强度较高时,可以降低低噪声放大器的增益,以免造成信号阻塞。这就是所谓的自动增益控制(Auto Gain Control,AGC)同样,这对于提高产品的稳定性,是很重要的。

我为什么要把电源与增益控制放在同一节呢?因为低噪声放大器的增益是依靠改变供电电压来实现的,这样就很容易理解了。学过模拟电路的都会知道,三极管放大电路的放大倍数和供电电压有密切关系,对于芯片说也同样如此。图4-4给出了常见的增益控制的电路形式。图中的LNA_GAIN既是来自无线收发器(Radio Transceiver)增益控制信号,又是低噪声放大器的供电电源,C104是滤波电容,显而易见,低噪声放大器的增益直接与LNA_GAIN的电压有关。

图4-4 常见的增益控制的电路形式

4.6. 完整设计的低噪声放大器

在这里,我要向大家展示的是一款设计十分细腻的低噪声放大器,这也是我见过的设计最为优秀的低噪声放大器,就是来自某实际案例中的2.4GHz频段的放大器,让我们来一同领略它的风采,如图4-5所示。图中的LNA_GAIN是来自无线收发器(Radio Transceiver)的增益控制信号,放大器使用的晶体管就是最常用的SGA-8343,R238,R239,R240是基极的偏置电阻,C219,L20,C220组成了低通滤波器,来自切换芯片(Switch)的LNA_IN通过低通滤波器之后经由C218耦合至低噪声放大器,Q2与C221,L51,C214,R240,C210,R239,R238,C211,R241,C215,L52组成了共射极放大电路,最终输出RFIN 送至收发器进行处理。

尤其值得我们注意的是,在每一个节点处,都放置了滤波电容,这样,就可以最大限度的消除任何可能的噪声,从而实现性能优秀的低噪声放大电路。

图4-5 某实际案例中设计精良的低噪声放大器

第5章. 收发切换电路

收发切换电路实现的功能就是进行发射与接收的切换,通常其最重要的组成部分就是一颗芯片,我们分成四个部分来讨论:芯片的选择,发射与接收回路,天线回路,控制管脚的处理。

5.1. 切换芯片的选择

切换芯片在结构上,通常就是一个单刀双掷的开关,开关掷向哪一边决定于加在控制管脚上的电压。切换芯片的典型内部结构如图5-1所示。

图5-1 切换芯片典型的内部结构

在选择切换芯片时,我们主要关注以下几个参数:

工作频率

切换速度

关断的隔离度

导通的衰减

能够承受的功率

控制电压

功率消耗

有一个比较奇怪的现象时我们很少看到在Datasheet中提到切换速度这样的参数。在绝大多数设计中,几乎无一例外的使用了NEC公司的uPG2179作为切换芯片(Switch),其典型参数如图5-2 所示。

图5-2 切换芯片的典型参数

5.2. 发射与接收回路

切换芯片位于靠近天线的地方,决定着天线作为发射天线还是作为接收天线。功率放大器和低噪声放大器都会直接与切换芯片相连,这样,发射与接收回路上的匹配就是必不可少的。关注一下Atheros和Ralink 的方案,会发现,Atheros会在发射回路上放置∏型匹配网络,但是Ralink则不会,一般就是通过电容直接耦合。

如图5-3所示,就是Atheros的典型发射与接收回路SW10就是那颗切换芯片。LPF_OUT是来自功率放大器的输出信号,R186,C121与R194组成了∏型匹配网络,LNA_IN是送至低噪声放大器的信号,SWITCH_TX与SWICTH_RX这两个信号的组合就控制着是打开发射通路还是打开接收通路。

图5-3 Atheros的典型的发射与接收回路

5.3. 天线回路

在5-4中我们已经看到,在Atheros的方案中,会在天线回路中放置一个印制滤波器(Printed Filter),图中的PF1就是Atheros专有的印制滤波器。同样,Ralink一般也不会在天线回路中设置滤波器或匹配电路。

5.4. 控制信号的处理

我们已经知道,图5-4中的SWITCH_TX和SWITCH_RX是来自无线收发器(Radio Transceiver)的控制信号,是直流电压,这样,为了稳定这个电压值,避免造成切换器的误动作,我们一般会在控制通路上串联一个电阻(或电感),一般是小于1K的电阻,并且在控制管脚的位置放置滤波电容(1-10pF),这样,

我们就可以很好的保证切换芯片没有误动作,从而,我们就得到了如图5-4所示的完整的切换电路的设计。

图5-4 完整设计的切换电路

第6章. 天线与天线连接器

在这一章里,我要讲的不是天线的设计,因为目前我还不太懂天线设计,而且天线设计是一个十分专业和复杂的学科。在这里我想要说的其实就只是一个问题:一定要在天线或者天线连接器的附近放置一个∏型匹配网络,这一点是我们做射频设计的人必须要牢记的事实。看一下Atheros 和Ralink的方案,会发现∏型匹配网络是必不可少的,典型的设计如图6-1所示。

图6-1 典型的天线连接器电路设计

第7章. 完整设计的射频电路

在前面几章的内容中,我们分成五章分别讲解了射频电路的无线收发器(Radio Transceiver),功率放大电路(Power Amplifier,PA),低噪声放大器(Low Noise Amplifier,LNA),收发切换电路(Transmit/Receive Switch),天线与天线连接器(Antenna And Connector),在每章的最后一节,我们都给出了每一部分的完整设计。我想你已经知道了——没错,只要把我们每个部分的完整设计组合在一起,那么我们就得到了Wi-Fi产品的一般射频电路的完整设计,我们不要急,我们再来回顾一下在本文一开始提到的射频设计框图,如图7-1。相信大家这时一定已经可以把每一个部分细化,得到更加详细的射频设计框图。

射频电路调试测试流程

射频电路调试测试流程(准备阶段) 射频电路的调试作为通信整机研发工作中的重要一环,工作量非常大,几乎所有电路都需要调试,为了提高效率,需要对调试环境、调试方法等进行规范。 环境准备如下 1、防静电 佩戴“静电手环”,并良好接地,若着化纤、羊毛、羽绒服装,外层需加穿防静电服,或防辐射服;小功率、低电压、高频率、小封装的器件均ESD敏感,最容易被ESD击穿的射频器件:RF开关,其次是LNA;所有仪器,开机使用前必须将机壳良好接地;2、电源 稳压电源接入负载前,先校准输出电压,电压等于负载的额定电压; 3、仪器保护 为安全起见:只要射频功率大于20dBm,射频信号源(30dBm)、频谱分析仪(27dBm)、信号源分析仪(23dBm)输入端必须级联同轴衰减器,一般情况下,5W 5dB衰减器为常态配置,若测试功放模块需根据实际输出功率大小配置合适的衰减器; 4、仪器设置 射频信号源:Keysight输出功率<13dBm,R&S输出功率<18dBm,若超出,输出功率可能小于显示值,需实测并进行补偿; 频谱分析仪:屏幕显示的有效动态范围,FSV约70dB,FSW约80dB;仪器的线性输入功率<-3dBm,超出会恶化待测IM3(ACLR)、谐波,应选择合适的内部/外部衰减值; 矢量网络分析仪:仪器的IF带宽决定噪声,测无源器件的带外抑制,应适当降低IF带宽;调测任何电路,必须保证输出功率

射频电路调试经验及问题分析

射频电路调试经验及问题分析 1前言 文档总结了我工作一年半以来的一些射频(Radio Frequency)调试(以下称为Debug)经验,记录的是我在实际项目开发中遇到并解决问题的过程。现在我想利用这份文档与大家分享这些经验,如果这份文档能够对大家的工作起到一定的帮助作用,那将是我最大的荣幸。 个人感觉,Debug过程用的都是最简单的基础知识,如果能够对RF的基础知识有极为深刻(注意,是极为深刻)的理解,我相信,所有的Bug解起来都会易如反掌。同样,我的这篇文档也将会以最通俗易懂的语言,讲述最通俗易懂的Debug技巧。 在本文中,我尽量避免写一些空洞的理论知识,但是第二章的内容除外。“微波频率下的无源器件”这部分的内容截取自我尚未完成的“长篇大论”——Wi-Fi产品的一般射频电路设计(第二版)。 我相信这份文档有且不只有一处错误,如果能够被大家发现,希望能够提出,这样我们就能够共同进步。 2微波频率下的无源器件 在这一章中,主要讲解微波频率下的无源器件。一个简单的问题:一个1K的电阻在直流情况下的阻值是1K,在频率为10MHz的回路中可能还是1K,但是在10GHz的情况下呢?它的阻值还会是1K吗?答案是否定的。在微波频率下,我们需要用另外一种眼光来看待无源器件。 2.1.微波频率下的导线 微波频率下的导线可以有很多种存在方式,可以是微带线,可以是带状线,可以是同轴电缆,可以是元件的引脚等等。 2.1.1.趋肤效应 在低频情况下,导线内部的电流是均匀的,但是在微波频率下,导线内部会产生很强的磁场,这种磁场迫使电子向导体的边缘聚集,从而使电流只在导线的表面流动,这种现象就称为趋肤效应。趋肤效应导致导线的电阻增大,结果会怎样?当信号沿导体传输时衰减会很严重。在实际的高频场合,如收音机的感应线圈,为了减少趋肤效应造成的信号衰减,通常会使用多股导线并排绕线,而不会使用单根的导线。我们通常用趋肤深度来描述趋肤效应。趋肤深度是频率与导线本身共同的作用,在这里我们不会作深入的讨论。 2.1.2.直线电感 我们知道,在有电流流过的导线周围会产生磁场,如果导线中的电流是交变电流,那么磁场强度也会随着电流的变化而变化,因此,在导线两端会产生一个阻止电流变化的电压,这种现象称之为自感。也就是说,微波频率下的导线会呈现出电感的特性,这种电感称为直线电感。也许你会直线电感很微小,可以忽略,但是我们将会在后面的内容中看到,随着频率的增高,直线电感就越来越重要。 电感的概念是非常重要的,因为微波频率下,任何导线(或者导体)都会呈现出一定的电感特性,就连电阻,电容的引脚也不例外。 2.2.微波频率下的电阻 从根本上说,电阻是描述某种材料阻碍电流流动的特性,电阻与电流,电压的关系在欧姆定律中已经给出。但是,在微波频率下,我们就不能用欧姆定律去简单描述电阻,这个时候,电阻的特性应经发生了很大的变化。 2.2.1.电阻的等效电路 电阻的等效电路。其中R就是电阻在直流情况下电阻自身的阻值,L是电阻的引脚,C 因电阻结构的不同而不同。我们很容易就可以想到,在不同的频率下,同一个电阻会呈现出不同的阻值。想想平时在我们进行Wi-Fi产品的设计,几乎不用到直插的元件(大容量电解

ADS2009射频电路仿真实验实验报告

低通滤波器的设计与仿真报告 一、实验目的 (1)熟悉ADS2009的使用及操作; (2)运用此软件设计一低通录波器,通过改变C2.L1的值,使低通录波器达到预定的要求(dB值以大于—3.0以上为宜); (3)画出输出仿真曲线并标明截止频率的位置与大小。 二、低通滤波器简介 (1)定义:让某一频率以下的信号分量通过,而对该频率以上的信号分量大大抑制的电容、电感与电阻等器件的组合装置。低通滤波器是容许低于截止频率的信号通过,但高于截止频率的信号不能通过的电子滤波装置。 (2)特点与用途 特点:低损耗高抑制;分割点准确;双铜管保护;频蔽好,防水功能强。 用途:产品用途广泛,使用于很多通讯系统,如 CATV EOC 等系统。并能有效的除掉通频带以外的信号和多余的频段、频率的干扰。 低通滤波器在信号处理中的作用等同于其它领域如金融领域中移动平均数所起的作用;低通滤波器有很多种,其中,最通用的就是巴特沃斯滤波器和切比雪夫滤波器。 三、设计步骤 1,建立新项目 (1)在界面主窗口执行菜单命令【File】/【New Project...】,创建

新项目。在选择保存路径时,在“Name”栏中输入项目的名称“lab1”; (2)单击按钮“确认”,出现电路原理图设计及仿真向导对话框,按照要求进行选择选项。 2,建立一个低通录波器设计 (1)在主界面窗口,单击“New Schematic Window”图标,弹出原理图设计窗口; (2)单击“保存”图标,保存原理图,命名为“lpf1”; (3)在元件模型列表窗口中选择“Lumped-Components”集总参数元件类; (4)在左侧面板中选择电容图标,将其放置到电路图设计窗口中,并进行旋转; (5)用类似的方法将电感放置到电路图设计窗口中,并利用接地图标,把电容器的一端接地,将各个器件连接起来; (6)在元件库列表窗口选择“Simulation-S-Param”项,在该面板中选择S-parameter模拟控制器和端口Term,将其放到原理图中。双击电容“C2”并修改其参数。 低通滤波器原理图如下图1所示: 3,电路仿真 1)设置S参数控件参数 (1)双击S参数控件,打开参数设置窗口,将“Step-size”设置为0.5GHz; (2)选中【Display】选项卡,在此列出了所有可以显示在原理

RF射频电路设计

RF电路的PCB设计技巧 如今PCB的技术主要按电子产品的特性及要求而改变,在近年来电子产品日趋多功能、精巧并符合环保条例。故此,PCB的精密度日高,其软硬板结合应用也将增加。 PCB是信息产业的基础,从计算机、便携式电子设备等,几乎所有的电子电器产品中都有电路板的存在。随着通信技术的发展,手持无线射频电路技术运用越来越广,这些设备(如手机、无线PDA等)的一个最大特点是:第一、几乎囊括了便携式的所有子系统;第二、小型化,而小型化意味着元器件的密度很大,这使得元器件(包括SMD、SMC、裸片等)的相互干扰十分突出。因此,要设计一个完美的射频电路与音频电路的PCB,以防止并抑制电磁干扰从而提高电磁兼容性就成为一个非常重要的课题。 因为同一电路,不同的PCB设计结构,其性能指标会相差很大。尤其是当今手持式产品的音频功能在持续增加,必须给予音频电路PCB布局更加关注.据此本文对手持式产品RF电路与音频电路的PCB的巧妙设计(即包括元件布局、元件布置、布线与接地等技巧)作分析说明。 1、元件布局 先述布局总原则:元器件应尽可能同一方向排列,通过选择PCB进入熔锡系统的方向来减少甚至避免焊接不良的现象;由实践所知,元器件间最少要有 0.5mm的间距才能满足元器件的熔锡要求,若PCB板的空间允许,元器件的间距应尽可能宽。对于双面板一般应设计一面为SMD及SMC元件,另一面则为分立元件。 1.1 把PCB划分成数字区和模拟区 任何PCB设计的第一步当然是选择每个元件的PCB摆放位。我们把这一步称为“布板考虑“。仔细的元件布局可以减少信号互连、地线分割、噪音耦合以及占用电路板的面积。 电磁兼容性要求每个电路模块PCB设计时尽量不产生电磁辐射,并且具有一定的抗电磁干扰能力,因此,元器件的布局还直接影响到电路本身的干扰及抗干扰能力,这也直接关系到所设计电路的性能。

WiFi产品射频电路调试经验

Wi-Fi产品射频电路调试经验 https://www.sodocs.net/doc/782335931.html,/article/11-04/422921302067041.html?sort=1111_1119_1438_0 2011-04-06 13:17:21 来源:电子发烧友 关键字:Wi-Fi 射频电路调试经验 这份文档是生花通信的一线射频工程师总结了的Wi-Fi产品开发过程中的一些射频调试经验,记录并描述在实际项目开发中遇到并解决问题的过程。 1 前言 这份文档总结了我工作一年半以来的一些射频(Radio Frequency)调试(以下称为Debug)经验,记录的是我在实际项目开发中遇到并解决问题的过程。现在我想利用这份文档与大家分享这些经验,如果这份文档能够对大家的工作起到一定的帮助作用,那将是我最大的荣幸。 个人感觉,Debug过程用的都是最简单的基础知识,如果能够对RF的基础知识有极为深刻(注意,是极为深刻)的理解,我相信,所有的Bug解起来都会易如反掌。同样,我的这篇文档也将会以最通俗易懂的语言,讲述最通俗易懂的Debug技巧。 在本文中,我尽量避免写一些空洞的理论知识,但是第二章的内容除外。“微波频率下的无源器件”这部分的内容截取自我尚未完成的“长篇大论”——Wi-Fi产品的一般射频电路设计(第二版)。 我相信这份文档有且不只有一处错误,如果能够被大家发现,希望能够提出,这样我们就能够共同进步。 2 微波频率下的无源器件 在这一章中,主要讲解微波频率下的无源器件。一个简单的问题:一个1K的电阻在直流情况下的阻值是1K,在频率为10MHz的回路中可能还是1K,但是在10GHz的情况下呢?它的阻值还会是1K吗?答案是否定的。在微波频率下,我们需要用另外一种眼光来看待无源器件。 2.1. 微波频率下的导线 微波频率下的导线可以有很多种存在方式,可以是微带线,可以是带状线,可以是同轴电缆,可以是元件的引脚等等。 2.1.1. 趋肤效应 在低频情况下,导线内部的电流是均匀的,但是在微波频率下,导线内部会产生很强的磁场,这种磁场迫使电子向导体的边缘聚集,从而使电流只在导线的表面流动,这种现象就称为趋肤效应。趋肤效应导致导线的电阻增大,结果会怎样?当信号沿导体传输时衰减会很严重。 在实际的高频场合,如收音机的感应线圈,为了减少趋肤效应造成的信号衰减,通常会使用多股导线并排绕线,而不会使用单根的导线。

射频电路PCB的设计技巧

射频电路PCB的设计技巧 摘要:针对多层线路板中射频电路板的布局和布线,根据本人在射频电路PCB设计中的经验积累,总结了一些布局布线的设计技巧。并就这些技巧向行业里的同行和前辈咨询,同时查阅相关资料,得到认可,是该行业里的普遍做法。多次在射频电路的PCB设计中采用这些技巧,在后期PCB的硬件调试中得到证实,对减少射频电路中的干扰有很不错的效果,是较优的方案。 关键词:射频电路;PCB;布局;布线 由于射频(RF)电路为分布参数电路,在电路的实际工作中容易产生趋肤效应和耦合效应,所以在实际的PCB设计中,会发现电路中的干扰辐射难以控制,如:数字电路和模拟电路之间相互干扰、供电电源的噪声干扰、地线不合理带来的干扰等问题。正因为如此,如何在PCB的设计过程中,权衡利弊寻求一个合适的折中点,尽可能地减少这些干扰,甚至能够避免部分电路的干涉,是射频电路PCB设计成败的关键。文中从PCB的LAYOUT角度,提供了一些处理的技巧,对提高射频电路的抗干扰能力有较大的用处。 1 RF布局 这里讨论的主要是多层板的元器件位置布局。元器件位置布局的关键是固定位于RF路径上的元器件,通过调整其方向,使RF路径的长度最小,并使输入远离输出,尽可能远地分离高功率电路和低功率电路,敏感的模拟信号远离高速数字信号和RF信号。 在布局中常采用以下一些技巧。 1.1 一字形布局 RF主信号的元器件尽可能采用一字形布局,如图1所示。但是由于PCB板和腔体空间的限制,很多时候不能布成一字形,这时候可采用L形,最好不要采用U字形布局(如图2所示),有时候实在避免不了的情况下,尽可能拉大输入和输出之间的距离,至少1.5 cm 以上。

2016年《射频电路设计》实验

实验三RFID标签的设计、制作及测试一、【实验目的】 在实际的生产过程中,RFID电子标签在设计并测试完成后,都是在流水线上批量制造生产的。为了让学生体会RFID标签天线设计的理念和工艺,本实验为学生提供了一个手工蚀刻制作RFID电子标签的平台,再配合微调及测试,让学生在亲自动手的过程中,不断地尝试、提炼总结,从而使学生对RFID标签天线的设计及生产工艺,有进一步深刻的理解。 二、【实验仪器及材料】 计算机一台、HFSS软件、覆铜板、Alien Higgs芯片、热转印工具、电烙铁、标签天线实物,UHF测试系统,皮尺 三、【实验内容】 第一步(设计):从UHF标签天线产品清单中,挑选出一款天线结构,或者自己设计一款标签天线结构,进行HFSS建模画图 第二步(制作):将第一步中设计好的标签模型用腐蚀法进行实物制作 第三步(测试):利用UHF读写器测试第二步中制作的标签实物性能 四、【实验要求的知识】 下图是Alien(意联)公司的两款标签天线,型号分别为ALN-9662和ALN-9640。这两款天线均采用弯折偶极子结构。弯折偶极子是从经典的半波偶极子结构发展而来,半波偶极子的总长度为波长的一半,对于工作在UHF频段的半波偶极子,其长度为160mm,为了使天线小型化,采用弯折结构将天线尺寸缩小,可以适用于更多的场合。ALN-9662的尺寸为70mm x 17mm,ALN-9640的尺寸为94.8mm x 8.1mm,之所以有不同的尺寸是考虑到标签的使用情况和应用环境,因为天线的形状和大小必须能够满足标签顺利嵌入或贴在所指定的目标上,也需要适合印制标签的使用。例如,硬纸板盒或纸板箱、航空公司行李条、身份识别卡、图书等。 ALN-9662天线版图 ALN-9640天线版图

射频电路设计困境及对策

射频电路设计地困境及对策 hc360慧聪网通信行业频道 2004-04-16 11:23:41 射频电路地设计技术一度专属于少数专家掌握并拥有其自己地专用芯片组,如今已能和数字电路模块及模拟电路模块集成在同一块 IC 里了.再则,射频电路设计中固有地临界尺寸要求,更增加了工程压力. 要点●射频电路设计师必须经常采用间接测量电路性能地方式,来推断电路故障地原因. ●射频电路设计问题正在影响数字电路设计和模拟电路设计. ●将射频电路集成在同一块印制电路板或 IC 上,这会促使人们使用一种新地设计方法. ● EDA 厂商正在开始提供集成时域仿真和频域仿真地分析工具. 射频电路设计就是对发射电磁信号地电路进行设计.射频意为无线电频率,因为射频电路在其初期,只能发射调幅和调频两个波段地无线电信号.今天,把高频电路设计称为“射频电路设计”,只是沿用了历史名称.图1表明,自从 20 世纪 60 年代使用 UHF 电视技术以来,广播设备使用高于 300000 MHz地频率.从那时以来,通信设备地内容、频率和带宽都增加了.安捷伦科技平台地经理Joe Civello说,对模拟/混合信号 IC 设计师地挑战正以前所未有地速度在加剧.在加大带宽和提高最终产品功能地市场需求推动下,设计正在进入更高地频率范围,并不断提高复杂性.工程师们正在把射频电路与模拟及数字纳M电路集成在一起.吉比级数据速率正在使数字电路像微波电路那样工作.不断扩充而更复杂地无线通信标准,如 WiFi<无线相容性认证)802.11a/b/g、超宽带和蓝牙标准,都要求设计师去评估其设计对系统整体性能地影响. 形状因子、功耗和成本推动着模拟电路设计、射频电路设计和数字电路设计地日益集成化.便携式设备小巧轻便,功耗和成本尽可能低.集成度直接影响着最终电子产品地制造成本、尺寸和重量,通常也决定所需功率地大小.设计师从材料清单中每去掉一个元件,维持该元件地供应链所需日常开支就会随之减少,最终产品地制造成本就会下降,产品尺寸也会缩小. 德州仪器公司(TI>负责无线应用地研究经理Bill Krenik说,射频电路地设计一向是很困难地,因为缺乏恰当地检测仪器,使高频信号地分析复杂化了.工程师们不得不采取间接地测量方法,并根据他们能够观察到地电路行为状态来推断电路特性.随着工程师们在同一块芯片上实现数字电路、模拟电路和射频电路,种种集成问题就使这一问题进一步复杂化.通过衬底传输或通过 IC 表面辐射地数字信号会影响射频或模拟部分地噪声敏感度.这些潜在地影响大多会结合在一起,从而使最初地硅片存在各种问题.传统地调试方法也许不再适用,这意味着你必须正确地进行设计,并在设计投片之前就要准确无误地对尽可能多地物理效应建立模型.当设计方法不能准确地建立硅片地模型时,设计小组通常别无选择,只能把器件制造出来,再去观察其工作状态.走这条途径就像一场赌注很高地赌博,多数公司只是把它作为最后地一招. 模拟电路和射频电路历来都制作在各自地芯片上,这样可以更方便地在系统中隔离噪声,防止耦合到电路地敏感节点中.工程师们把这几类设计元件都集成在同一块芯片上时,就不能忽视噪声问题.假如没有某种形式地精确硅衬底模型,工程师们也许要到硅片从工厂退回后才会知道问题地存在.这类产品地开发几乎总是需要一个由各个工程领域地专家组成地小组.很少有哪个设计师既有射频专业知识,又有模拟电路专业知识;再则,射频电路专家和模

射频电路设计公式

射频电路设计对特性阻抗Z的经验公式做公式化处理,参见P61 波阻抗公式: E H =Z= μ/ε=377Ω? 相速公式: v=ω β = 1 εμ 电抗公式: Xc= 1 Xl=ωL 直流电阻公式: R= l σS = l πa2σ 高频电阻公式: R′=a R 高频电感公式: L=R′ω 趋肤厚度公式: δ= 1πfμσ 铜线电感实用公式: L′=R a πfμσ= 2l 2 ? 1 πδμσ= 2l μ0/πσf= 1.54 f uH 高频电容公式: C=εA d 高频电导率: G=σA = ωεA = ωC 电容引线电感经验公式: L′=Rd?a πfμ.σ= 2lμ. = 771 f nH

电容引线串联电阻公式: R′=R?a 2δ = 2l 2πaσ πfμ.σ= l a μ.f πσ =4.8 fμΩ 电容漏电阻: R=1 G = 1 2πfC?tanΔ = 33.9exp6 f MΩ TanΔ的定义: ESR=tanΔωC 空气芯螺旋管的电感公式: L= πr2μ.N2螺旋管的电容: C=ε.?2πrN?2a l N =4πε.? raN2 l 微分算符的意义: ? x= 0? ? ?z ? ?y ? 0? ?? ? ?y ? ?x 电容,电感,电导,电阻的定义: C=εw d L= d G= σw R= d σw 特性阻抗表达式:

Z=L C 若是平行板传输线: Z=μεd w 关于微带线设计的若干公式: w/h < 1时, Z= Z. 2π ε′ 8? w + w 4? 其中, Z.=376.8Ω ε′=εr+1 + εr?1 1+ 12h? 1 2 +0.041? w2 w/h>1时 Z= Z. ε′? 1.39+ w h+ 2 3ln w h+1.444 其中, ε′=εr+1 + εr?1 1+ 12h? 1 2 如何设计微带线w/h<2时: w h = 8e A e2A?2 其中, A=2πZ Z. εr+1 2 + εr?1 εr+1 0.23+ 0.11 εr w/h>2时: W =2 (B?1?ln2B?1+ εr?1 (ln B?1 +0.39? 0.61 )) 其中, B= Z.π2Zεr 反射系数的定义:

HY016射频设计6_射频匹配电路调试

HY016射频设计6_射频匹配电路调试 全部频段在QSPR中校准通过后,便可以进行电路优化了,也就是我们通常说的调匹配。 我们实验室采用的是盲调,即以最终实测性能的好坏来决定最终的匹配电路;与之对应的另一种方法是根据器件规格书,用网络分析仪逐个端口调试,使其和规格书要求相对应。对于RDA PhaseII方案,盲调性能挺好。 对于频分电路(FDD LTE/WCDMA/CDMA),重点是调双工器的输入输出端匹配;对于时分电路(TDD-LTE/TDSCDMA),重点是调滤波器的输入输出匹配。双工的调试相对复杂,本文会以HY016欧洲版中B20双工为例进行说明。 射频电路调试的最终原则包括: 1,发射端兼顾电流和线性度,也就是在ACLR余量足够的情况下尽可能的降低最大发射功率的电流,同时兼顾整个频段中高中低信道的平坦度。 2,接收端以提高接收灵敏度为最终原则 3,不是把某块板子的性能调到最佳为准;而是要留够余量,保证量产大批量板子的性能都能达到良好为准 双工器电路我通常的调试步骤: 1,初始bom采用datasheet的参考匹配 2,调节公共端的到地电感,让低、中、高信道特性一致,包括电流和ACLR 3,调节公共端的串联电感/电容,找出ACLR和电流的最佳权衡 4,调节发射端输入匹配,找出ACLR和电流的最佳权衡,最终确认发射端匹配 5,在QSPR下直接校准接收进行接收调试:若信道间差距过大就优先到地电感;若信道间差距不大则优化串联电感/电容;调试完成后实测灵敏度最终确认接收匹配 调试发射电路时,需要和仪表相连。通常在用QSPR完成校准后,再在QPST->PDC中导入并激活ROW_Gen_Commercial.MBN便可以和仪表通信了。关于MBN激活这部分,会在后续工厂文件部分详细说明,这里不再展开。

射频电路-实验指导书

实验一:滤波器(Filter ) 一、实验目的: 1.了解基本[低通]及[带通]滤波器之设计方法。 2.利用实验模组实际测量以了解[滤波器]的特性。 二、实验设备: 三、实验理论分析: (一)滤波器的种类 以信号被滤掉的频率范围来区分,可分为[低通](Lowpass )、[高通](Highpass )、[带通](Bandpass )及[带阻](Bandstop )四种。若以滤波器的频率响应来分,则常见的有[巴特渥兹型](Butter-worth)、[切比雪夫I 型](Tchebeshev Type-I)、[切比雪夫Ⅱ型](T chebeshev Type-Ⅱ)及[椭圆型](Elliptic)等,若按使用元件来分,则可分为[有源型]及[无源型]两类。其中[无源型]又可分为[L-C 型](L-C Lumped)及[传输线型](Transmission line)。而[传输线型]以其结构不同又可分为[平行耦合型](Parallel Coupled)、[交叉指型](Interdigital)、[梳型](Comb-line)及[发针型](Hairpin-line)等等不同结构。 本实验以较常用的[巴特渥兹型](Butter-worth)、[切比雪夫I 型] (Tchebeshev Type-I)为例,说明其设计方法。 首先了解[Butter-worth]及[Tchebeshev Type-I]低通滤波器的响应图。 (a) [Butterowrth] []|),(|log 10),(, 011),(2ωωωω ωN B N B if N B LP N LP ?=≥+=

(b) [Tchebyshev Type] []|),,(|l o g 10),,(, ) (11),,(22 ωωωεωN rp T N rp T T N rp T LP n LP ?=+= 其中 rp(dB)是[通带纹波](passband ripple), 110 10 /2-=rp ε N 为元件级数数(order of element for lowpass prototype ) ω为截通比(stopband-to-passband ratio ), ω= fc / fx (for lowpass) = B Wp / BWx (for bandpass) 其中 fc 是-3 dB 截止频率(3 dB cutoff frequency ) fx 是截止频率(stopband frequency ) BWp 是通带频宽(passband bandwidth ) BWx 是截止频宽(stopband bandwidth ) T n (ω)为[柴比雪夫]多项式(Tchebyshey polynom als) [] [] ? ??>???≤?≤??=--1)(cosh cosh 1 0)(cos cos )(1 1ωαωαωαωαωif N if N T n 其中 ?? ? ?????? ???=-ε α1cosh 1 cosh 1 N ,110 10 /2 -=rp ε 图6-1(a)(b)即是[三级巴特渥兹型]B (3,ω)与三种不同纹波和级数的[切比雪夫型]的截通比响应的比较图。理论上,在通带内[巴特渥兹型]是无衰减的(Maximun flat ),而[切比雪夫型]较同级数的[巴特渥兹型]有较大的衰减量。实际应用上,除非在通带内要求必须是平坦响应(flat response )外,大多允许通带少量的衰减而采用[切比雪夫型]以获得较大的截通效应或减少元件级数。

射频发射机电路设计

射频发射机电路设计 文献综述 前言 超外差接收是一种巧妙的接收方法,利用它,能使因无线电信号直接接收和放大而引起的一系列困难得到解决。在费森登思想的基础上,1912年,阿姆斯特朗在接收机中设置了本机振荡(简称“本振”)电路,通过双联可变电容器进行同步调谐,保证本振频率始终跟踪外来信号频率的变化,而且始终比外来信号高一个固定的中频。这样,不管所接收的各个电台的载波频率差别多大,与本振频率混频后,产生的都是统一的中频信号。再对这个统一的中频信号进行放大、检波,就可得到所需要的音频信号。利用超外差原理设计的电路,能使接收机电路大大简化,接收机的性能与灵敏度也得到提高。当时阿姆斯特朗还成功地组装出一台超外差接收机。同年,阿姆斯特朗与德·福雷斯特及兰茂尔各自独立发明了再生电路。 超外差接收原理不仅适用于收音机电路,还具有广泛的应用价值,它适用于电视广播、微波通信、雷达等无线电技术的各个领域。超外差原理已成为现代无线电接收理论的基础,凡是涉及无线电信号接收的电子设备,都离不开超外差接收电路。阿姆斯特朗的这项重要发明,不仅推动了无线电技术早期发展的进程,而且在无线电事业的征途上至今还闪现着它的技术光芒。 超外差原理的典型应用是超外差接收机。从天线接收的信号经高频放大器(见调谐放大器)放大,与本地振荡器产生的信号一起加入混频器变频,得到中频信号,再经中频放大、检波和低频放大,然后送给用户。接收机的工作频率范围往往很宽,在接收不同频率的输入信号时,可以用改变本地振荡频率f1的方法使混频后的中频fi保持为固定的数值。 概述 超外差接收机是超外差电路的典型应用,是全面学习模拟电路基础知识最好的切入点之一。通过简单分析超外差式接收机中输入电路、变频电

射频电路设计技巧

实用资料——射频电路板设计技巧成功的RF设计必须仔细注意整个设计过程中每个步骤及每个细节,这意味着必须在设计开始阶段就要进行彻底的、仔细的规划,并对每个设计步骤的进展进行全面持续的评估。而这种细致的设计技巧正是国内大多数电子企业文化所欠缺的。 近几年来,由于蓝牙设备、无线局域网络(WLAN)设备,和移动电话的需求与成长,促使业者越来越关注RF电路设计的技巧。从过去到现在,RF电路板设计如同电磁干扰(EMI)问题一样,一直是工程师们最难掌控的部份,甚至是梦魇。若想要一次就设计成功,必须事先仔细规划和注重细节才能奏效。 射频(RF)电路板设计由于在理论上还有很多不确定性,因此常被形容为一种「黑色艺术」(black art) 。但这只是一种以偏盖全的观点,RF电路板设计还是有许多可以遵循的法则。不过,在实际设计时,真正实用的技巧是当这些法则因各种限制而无法实施时,如何对它们进行折衷处理。重要的RF设计课题包括:阻抗和阻抗匹配、绝缘层材料和层叠板、波长和谐波...等,本文将集中探讨与RF电路板分区设计有关的各种问题。 微过孔的种类 电路板上不同性质的电路必须分隔,但是又要在不产生电磁干扰的最佳情况下连接,这就需要用到微过孔(microvia)。通常微过孔直径为0.05mm至0.20mm,这些过孔一般分为三类,即盲孔(blind via)、埋孔(bury via)和通孔(through via)。盲孔位于印刷线路板的顶层和底层表面,具有一定深度,用于表层线路和下面的内层线路的连接,孔的深度通常不超过一定的比率(孔径)。埋孔是指位于印刷线路板内层的连接孔,它不会延伸到线路板的表面。上述两类孔都位于线路板的内层,层压前利用通孔成型制程完成,在过孔形成过程中可能还会重叠做好几个内层。第三种称为通孔,这种孔穿过整个线路板,可用于实现内部互连或作为组件的黏着定位孔。 采用分区技巧 在设计RF电路板时,应尽可能把高功率RF放大器(HPA)和低噪音放

射频通信电路课程设计报告

射频通信电路课程设计报告 引言 混频器在通信工程和无线电技术中,应用非常广泛,在调制系统中,输入的基带信号都要经过频率的转换变成高频已调信号。在解调过程中,接收的已调高频信号也要经过频率的转换,变成对应的中频信号。特别是在超外差式接收机中,混频器应用较为广泛,如AM 广播接收机将已调幅信号535KHZ-一1605KHZ要变成为465KHZ中频信号,电视接收机将已调48.5M一870M 的图象信号要变成38MHZ的中频图象信号。 常用的振幅检波电路有包络检波和同步检波两类。输出电压直接反映调幅包络变化规律的检波电路,称为包络检波电路,它适用于普通调幅波的检波。通常根据信号大小的不同,将检波器分为小信号平方律检波和大信号峰值包络检波两信号检波。 目前, 在应用较广泛的电路仿真软件中, Pspice是应用较多的一种。Psp ice 能够把仿真与电路原理图的设计紧密得结合在一起。广泛应用于各种电路分析,可以满足电路动态仿真的要求。其元件模型的特性与实际元件的特性十分相似,因而它的仿真波形与实验电路的测试结果相近,对电路设计有重要的指导意义。 由此可见,混频电路是应用电子技术和无线电专业必须掌握的关键电路。 [3]

目录 引言 (2) 一.概述 (3) 二. 方案分析 (4) 三.单元电路的工作原理 (6) 1.LC正弦波振荡器 (6) 2.模拟乘法器电路 (8) 3.谐振电路 (9) 4.包络检波 (12) 四.电路性能指标的测试 (16) 五.课程设计体会..................................................................................................... 错误!未定义书签。参考文献..................................................................................................................... 错误!未定义书签。

射频实验报告

射频电路实验报告12/13 学年第1学期 学院:信息与通信工程学院 专业:电子信息科学与技术 学生姓名:学号: 指导教师:李永红 日期: 2012 年10月28日

实验一滤波器设计 一、实验目的 (1) 掌握基本的低通和带通滤波器的设计方法。 (2) 学会使用微波软件对低通和高通滤波器进行设计和仿真,并分析结果。 二、预习内容 (1) 滤波器的相关原理。 (2) 滤波器的设计方法。 三、实验设备 Microwave Office软件 四、理论分析 滤波器的种类: (1) 按通带特性分为低通、高通、带通及带阻四种。 (2) 按频率响应分为巴特沃斯、切比雪夫及椭圆函数等。 (3) 按使用原件又可分为L-C性和传输线型。 五、软件仿真 设计一个衰减为3dB,截止频率为75MHz的[切比雪夫型1dB 纹波LC 低通滤波器(Zo=50ohm),并且要求该滤波器在100MHz至少有20dB 的衰减。

图1-1切比雪夫型1dB 纹波LC低通滤波器电路图 图1-2 模拟仿真结果 六、结果分析 经过仿真,得到了两种滤波器的频率特性的到了结果。红色的曲线为低通滤波器,蓝色的为带通滤波器,两种滤波器的特性可以鲜明地在图上看出差别。低通滤波器在低频区域,是通带,通带非常的平缓,纹波较低,但是截至段不是很陡。带通滤波器具有较好的陡峭特性,但是相对而言,通带比较窄而且纹波较大。

实验二放大器设计 一、实验目的 (1) 掌握射频放大器的基本原理与设计方法。 (2) 学会使用微波软件对射频放大器进行设计和仿真,并分析结果。 二、预习内容 (1) 放大器的基本原理。 (2) 放大器的设计方法。 三、实验设备 Microwave Office软件 四、理论分析 射频晶体管放大器常用器件为BJT、FET、MMIC。 放大器电路的设计主要是输入/输出匹配网络。输入匹配网络可按低噪声或高增益设计,输出匹配网络要考虑尽可能高的增益。

《射频通信电路》习题及解答

习题1: 1.1本课程使用的射频概念所指的频率范围是多少? 解: 本课程采用的射频范围是30MHz~4GHz 1.2列举一些工作在射频范围内的电子系统,根据表1-1判断其工作波段,并估算相应射频信号的波长。 解: 广播工作在甚高频(VHF )其波长在10~1m 等 1.3从成都到上海的距离约为1700km 。如果要把50Hz 的交流电从成都输送到上海,请问两地交流电的相位差是多少? 解: 84403100.65017000.283330.62102v km f k k λθπ?===?10==?10?== 1.4射频通信系统的主要优势是什么? 解: 1.射频的频率更高,可以利用更宽的频带和更高的信息容量 2.射频电路中电容和电感的尺寸缩小,通信设备的体积进一步减小 3.射频通信可以提供更多的可用频谱,解决频率资源紧张的问题 4.通信信道的间隙增大,减小信道的相互干扰 等等 1.5 GSM 和CDMA 都是移动通信的标准,请写出GSM 和CDMA 的英文全称和中文含意。(提示:可以在互联网上搜索。) 解: GSM 是Global System for Mobile Communications 的缩写,意为全球移动通信系统。 CDMA 英文全称是Code Division Multiple Address,意为码分多址。 1.6有一个C=10pF 的电容器,引脚的分布电感为L=2nH 。请问当频率f 为多少时,电容器开始呈现感抗。 解: 11 1.1252wL f GHz wC π=?==

既当f=1.125GHz 时,电容器为0阻抗,f 继续增大时,电容器呈现感抗。 1.7 一个L=10nF 的电容器,引脚的分布电容为C=1pF 。请问当频率f 为多少时,电感器开始呈现容抗。 解: 思路同上,当频率f 小于1.59 GHz 时,电感器呈现感抗。 1.8 1)试证明(1.2)式。2)如果导体横截面为矩形,边长分别为a 和b ,请给出射频电阻R RF 与直流电阻R DC 的关系。 解: R l s =ρσ l ρ, ,s 对于同一个导体是一个常量 当直流时,横截面积2DC S a π= 当交流时,横截面积2AC S a π=? 得:222DC AC R a a R a ππ==?? 2)直流时,横截面积DC S ab = 当交流时,横截面积()()AC S ab a b =--?-? 得:[()()]DC AC R ab R ab a b =--?-? 1.9已知铜的电导率为66.4510/Cu S m σ=?,铝的电导率为64.0010/Al S m σ=?,金的电导率 为64.8510/Au S m σ=?。试分别计算在100MHz 和1GHz 的频率下,三种材料的趋肤深度。 解: 趋肤深度?定义为: ?=在100MHz 时: Cu 为2 mm Al 为 2.539mm Au 为 2.306mm 在1GHz 时: Cu 为0.633 mm Al 为 0.803mm Au 为 0.729mm 1.10某个元件的引脚直径为d=0.5mm ,长度为l =25mm ,材料为铜。请计算其直流电阻R DC 和在1000MHz 频率下的射频电阻R RF 。 解:

射频工程师必读书籍

ADS,MWO,Ansoft还是CST、HFSS 频微波类书 希望对大家有点帮助: 1.《射频电路设计--理论与应用》『美』Reinhold Ludwig 著电子工业出版社 个人书评:射频经典著作,建议做RF的人手一本,里面内容比较全面,这本书要反复的看,每读一次都会更深一层理解. 随便提一下,关于看射频书籍看不懂的地方怎么办?我提议先看枝干或结论有个大概印象,实在弄不明白就跳过(当然可问身边同事同学或GOOGLE一下),跳过不是不管它了,而是尽量先看完自己能看懂的,看第二遍的时候再重点抓第一次没有看懂的地方,人的思维是不断升华的,知识的也是一个系统体系,有关联的,当你把每一块砖弄明白了,就自然而然推测出金字塔塔顶是怎么架设出来的。 2. 《射频通信电路设计》『中』刘长军著科学技术出版社 个人书评:有拼凑之嫌(大量引用书1和《微波晶体管放大电路分析与设计》内容),但还是有可取之处,加上作者的理解,比看外文书(或者翻译本)看起来要通俗易懂,毕竟是中国人口韵。值得一看,书上有很多归纳性的经验. 3.《高频电路设计与制作》『日』市川欲一著科学技术出版社 个人书评:本人说实话比较喜欢日本人写书的风格和语言,及其通俗,配上图示,极其深奥的理论看起来明明朗朗,比那些从头到尾只会搬抄公式的某些教授强们多了,本书作者的实践之作,里面都是一些作者的设计作品和设计方法,推荐一看. 4. 《LC滤波器设计与制作》『日』森荣二著科学技术出版社 个人书评:语言及其通俗易懂,完全没有深奥的理论在里面,入门者看看不错,但是设计方法感觉有点落后,完全手工计算.也感觉内容的太细致,此书一般. 5. 《振荡电路设计与应用》『日』稻叶宝著科学技术出版社 个人书评:这边书还不错,除了学到振荡电路设计,还学到了很多模拟电路的基础应用,唯一缺点书中的内容涉及频率的都不够高(k级,几M,几十,几百M的振荡器),做有源电路的可以看一下,整体感觉还行. 6. 《锁相环电路设计与应用》『日』远坂俊昭著科学技术出版社 个人书评:对PLL原理总是搞不太明白的同学可以参考此书,图形图片很多,让人很直观明白,比起其他PLL书只会千篇一律写公式强千倍。好书,值得收藏! 7. 《信号完整性分析》『美』Eric Bogatin 著电子工业出版社 个人书评:前几章用物理的方法看电子,感觉不好理解,写的感觉很拗口,翻译好像也有些不到位,但后面几章写的确实好,尤其是关于传输线的,对你理解信号的传输的实际过程,能建立一个很好的模型,推荐大家看一下,此书还是不错的.(看多了RF的,换换胃口)8. 《高速数字设计》『美』Howard Johnson著电子工业出版社 个人书评:刚刚卓越买回来,还没有动“她”呢,随便翻了下目录,做高速电路和PCB Layout 的工程师一看要看下,这本书也是经典书喔! 9.《蓝牙技术原理开发与应用》『中』钱志鸿著北京航空航天大学出版社 个人书评:当时自己做蓝牙产品买的书,前2年仅有的几本,上面讲了一下蓝牙的基本理论(恰当的说翻译了蓝牙标准),软件,程序的东西占大部分内容. 10.《EMC电磁兼容设计与测试案例分析》『中』郑军奇著电子工业出版社 个人书评:实战性和很强的一本书,本人做产品经常要送去信息产业部电子研究5所做EMC 测试,认证.产品认证是产品成功的临门一脚,把这脚球踢好,老板会很赏识你的,如果你也负责产品的EMC,这本书必读。作者写有很多实例,很有代表性,对你解决EMC问题,会有引导性(指导性)的的意义。

射频电路设计的常见问题及五大经验总结

射频电路板设计由于在理论上还有很多不确定性,因此常被形容为一种“黑色艺术”,但这个观点只有部分正确,RF电路板设计也有许多可以遵循的准则和不应该被忽视的法则。 不过,在实际设计时,真正实用的技巧是当这些准则和法则因各种设计约束而无法准确地实施时如何对它们进行折衷处理。当然,有许多重要的RF设计课题值得讨论,包括阻抗和阻抗匹配、绝缘层材料和层叠板以及波长和驻波等,在全面掌握各类设计原则前提下的仔细规划是一次性成功设计的保证。 RF电路设计的常见问题 1、数字电路模块和模拟电路模块之间的干扰 如果模拟电路(射频)和数字电路单独工作,可能各自工作良好。但是,一旦将二者放在同一块电路板上,使用同一个电源一起工作,整个系统很可能就不稳定。这主要是因为数字信号频繁地在地和正电源(>3 V)之间摆动,而且周期特别短,常常是纳秒级的。由于较大的振幅和较短的切换时间。使得这些数字信号包含大量且独立于切换频率的高频成分。在模拟部分,从无线调谐回路传到无线设备接收部分的信号一般小于lμV。因此数字信号与射频信号之间的差别会达到120 dB。显然.如果不能使数字信号与射频信号很好地分离。微弱的射频信号可能遭到破坏,这样一来,无线设备工作性能就会恶化,甚至完全不能工作。 2、供电电源的噪声干扰 射频电路对于电源噪声相当敏感,尤其是对毛刺电压和其他高频谐波。微控制器会在每个内部时钟周期内短时间突然吸人大部分电流,这是由于现代微控制器都采用CMOS工艺制造。因此。假设一个微控制器以lMHz的内部时钟频率运行,它将以此频率从电源提取电流。如果不采取合适的电源去耦.必将引起电源线上的电压毛刺。如果这些电压毛刺到达电路RF部分的电源引脚,严重时可能导致工作失效。 3、不合理的地线 如果RF电路的地线处理不当,可能产生一些奇怪的现象。对于数字电路设计,即使没有地线层,大多数数字电路功能也表现良好。而在RF频段,即使一根很短的地线也会如电感器一样作用。粗略地计算,每毫米长度的电感量约为l nH,433 MHz时10 toni PCB线路的感抗约27Ω。如果不采用地线层,大多数地线将会较长,电路将无法具有设计的特性。 4、天线对其他模拟电路部分的辐射干扰 在PCB电路设计中,板上通常还有其他模拟电路。例如,许多电路上都有模,数转换(ADC)或数/模转换器(DAC)。射频发送器的天线发出的高频信号可能会到达ADC的模拟淙攵恕R蛭魏蔚缏废呗范伎赡苋缣煜咭谎⒊龌蚪邮誖F信号。如果ADC输入端的处理不合理,RF信号可能在ADC输入的ESD二极管内自激。从而引起ADC偏差。 一、射频电路布局原则 在设计RF布局时,必须优先满足以下几个总原则: (1)尽可能地把高功率RF放大器(HPA)和低噪音放大器(LNA)隔离开来,简单地说,就是让高功率RF发射电路远离低功率RF接收电路; (2)确保PCB板上高功率区至少有一整块地,最好上面没有过孔,当然,铜箔面积越大越好; (3)电路和电源去耦同样也极为重要;

相关主题