搜档网
当前位置:搜档网 › NE5532_电子分频电路_重低音_高音

NE5532_电子分频电路_重低音_高音

NE5532_电子分频电路_重低音_高音
NE5532_电子分频电路_重低音_高音

NE5532 电子分频电路重低音高音

1.我们去音响市场时总能听到一些很强的低音很锐耳的高音,他那音箱也不见得很夸张,老板说那是什么什么功放块的音响,可是当我们好奇DIY的板子时,就算接的喇叭再好也没他那效果,那就困惑了。我们也挺喜欢买

2.1音响,这2。1又是个啥意思?其实一切源于前级分频(后及分频也行,只是可能分频不是很突出)。你说这前级分频那个复杂?可不是,只要你会做板子,这个同样可以轻松搞定。NE5532做的就是不错的分频器了

上图为NE5532做成的二阶高通和低通波器,也就我们要的高音和低音前级分频器,对于低音有C6=1.41 4/(2π f R),R=R1=R6=10K,可见改变公式里的参

数就可以得到不同的分频点。就是说低于f(上图大约为250Hz)的波形顺利通过,大于f频率的波形会大大衰减,就是低通。至于高通,不用说了吧。那47K 的电阻可以不要,其上的电容电阻可以根据听觉来选取大小,输入端可以加入缓冲级,输出端最好加个后级运放,不然不好去推功放块。还有中频是不能少的,要是少了中频声音就没那么好听了,这中频怎么做看了上面应该懂了吧。当然,NE5532换成其他也可以,只要引脚对的上。

2. 如图为三分频电路图,是一个比较经典的三分频电路。电路元件较为简单。

图2是3分频电路,用JK-FF实现3分频很方便,不需要附加任何逻辑电路就能实现同步计数分频。但用D-FF实现3分频时,必须附加译码反馈电路,如图2所示的译码复位电路,强制计数状态返回到初始全零状态,就是用NOR门电路把Q2,Q1=“11B”的状态译码产生“H”电平复位脉冲,强迫FF1和FF2同

时瞬间(在下一时钟输入Fi的脉冲到来之前)复零,于是Q2,Q1=“11B”状态仅瞬间作为“毛刺”存在而不影响分频的周期,这种“毛刺”仅在Q1中存在,实用中可能会造成错误,应当附加时钟同步电路或阻容低通滤波电路来滤除,或者仅使用Q2作为输出。D-FF 的3分频,还可以用AND门对Q2,Q1译码来实现返回复零。

专业电子分频器的使用技巧

专业电子分频器的使用技巧 在一套音响系统中提到分频器一般来说是指能将:20Hz--20000Hz频段的音频信号分成合适的、不同的几个频率段,然后分别送给相应功放,用来推动相应音箱的一种音响周边设备。由于它是一种用来处理、分配音频频率信号的电子设备,所以我们通常也叫它:电子分频器。电子分频器的详细功能和工作原理我就不多说了,这里我只是侧重于对一些大家比较重视或经常感到困惑的方面做一些通俗易懂的介绍,希望能对大家有所帮助! 一、我们为什么要使用电子分频器 我们音响师研究电声和现在电声设备与技术的不断发展都是为了一个目的:就是要尽量忠实的再现各种音源,当然要把自然界里千奇百怪、各种各样的声音完全利用现在的电声技术再现是不太现实几乎做不到的。大家知道,声音的频率范围是在20Hz—20000Hz之间,现在大多数前级音频处理设备的频率范围是可以达到这样宽度的,但目前的扬声器却成了一个瓶颈部分,我们奢想使用一种或简单几只扬声器就能放送出接近20Hz--20000Hz这样宽频率的声音是很难做到的,因为现在单只喇叭的有效工作频率范围都不是很宽。鉴于此电声工程师们就设计出了在不同频率段内工作的音箱,如: 1、重低音音箱:让它在大约30-200Hz的频率范围内工作。 2、低中音音箱:让它在大约200-2000Hz的频率范围内工作。 3、高音音箱:让它在大约2000-20000Hz的频率范围内工作。 如此以来我们就可以利用在不同频率段工作的不同种类的音箱配置一套能最大限度接近声音真实频率(20Hz--20000Hz)的音响系统了。当然不同音箱设备的构成和参数是不同的,我上面说的是以一个三分频的系统为例,实际使用上还有其它诸如:2分频或4分频等系统,而且不同音响系统中由于采用的音箱会有区别,因此这些音箱的工作频率也不可能是固定相同的,但大体的原理和思路是一样的。 那么有一个问题就是: 我们如何给这些在不同频率段工作的、不同种类的音箱灵活分配音频频率呢?为了解决这个问题,电子分频器就应运而生了,它可以根据不同音箱工作频率的需要提供合适的频率段,例如: 1、我们可以用电子分频器将高频信号通过功放送到高音扬声器中. 2、可以用电子分频器将中频信号通过功放送到中音扬声器中。 3、可以用电子分频器将低频信号通过功放送到低音扬声器中。

NE5532中文资料(部分)

NE5532 等效输入噪声电压,频率的典型值在1 kHz,单位增益带宽10 MHz的典型值共模抑比百分贝典型。 高直流电压增益100 V / mV的典型,峰值输出电压摆幅32 V,典型随着VCC±=±18 V和RL=600Ω高转换率9 V/μs的典型 宽电源电压范围±3 V至±20 V。 在工作自由空气的温度范围内绝对最大额定值(除非另有说明)电源电压:VCC+22--22 V,输入电压,无论输入VCC± 输入电流±10毫安 输出短路持续时间无限封装的热阻抗 D包97°C / W PS包85 °C / W PS包95°C / W 经营虚拟结温,TJ150 ℃, 存储温度范围,TSTG-65°C至150℃ 超出“绝对最大额定值”列出的压力可能会造成永久性损坏设备。这些压力额定值只,和该设备在这些或超出下标明的任何其他条件的功能操作“推荐工作条件”是不是暗示。暴露于长时间的绝对最大额定值条件可能影响器件的可靠性。 注释:1.所有的电压值,除了差分电压,是相对于VCC+和VCC-之间的中点。 2.输入电压的幅度绝不能超过电源电压的幅度。

3.过量的输入电流将流,如果超过约0.6伏的差动输入电压的输入端之间时,除非一些限流电阻使用。 4.可以将输出短路到地或任一电源。温度和/或电源电压必须限制,以确保最大额定功耗不超过。 5.最大功耗是TJ(最大),θJA,和TA的函数。在任何允许的最大允许功耗 环境温度为PD=(TJ(最大值) - TA)/θJA。工作在150℃的最大绝对值的TJ可能会影响可靠性。 6.封装的热阻抗的计算按照JESD51- 7. 推荐工作条件: 电气特性,VCC±=+15 V,TA=25°C(除非另有说明)

六分频加法电路的设计

六分频加法电路的设计 1相关原理分析 1.1计数器 计数器是实现分频电路的基础,计数器包括普通计数器和约翰逊计数器两种,这两种电路均可用于分频电路中。 最普通的计数器莫过于加法(减法)计数器。以3位二进制计数器为例,计数脉冲CP 通过计数器时,每输入一个计数脉冲,计数器的最低位(记为Q0,后面的依次记为Q1、Q2、)翻转一次,Q1、Q2、都以前一级的输出信号作为触发信号。分析这个过程,不难得出输出波形。 图1-1 3位二进制计数器时序图 由上很容易看出Q0 的频率是CP的1/2,即实现了2分频,Q1则实现了4分频,同理Q2实现了8分频。这就是加法计数器实现分频的基本原理。 约翰逊计数器是一种移位寄存器,采用的是把输出的最高位取非,然后反馈送到最低位触发器的输入端。约翰逊计数器在每一个时钟下只有一个输出发生变化。同样以3为二进制为例。假设最初值或复位状态是000,则依次是000、001、011、111、110、100、000这样循环。由各位的输出可以看出,约翰逊计数器最起码能实现2分频。 1.2两种计数器的比较 从以上分析可以看出约翰逊计数器没有充分有效地利用寄存器的所有状态,而且如果

由于噪声引入一个无效状态,如010,则无法恢复到有效循环中去,需要加入错误恢复处理。但其较之加法计数器也有它的好处。同一时刻,加法计数器的输出可能有多位发生变化,因此当使用组合逻辑对输出进行译码时,会导致尖峰脉冲信号。而约翰逊计数器可以避免这个问题。 1.3 计数器的选择 本次训练要求设计的是加法分频电路,选择的是加法计数器。加法计数器实现分频较之约翰逊计数器简单,编程也容易理解一些,对于初学者也较容易上手。在前面已经讲过加法计数器实现2n的分频的方法,现在就不在赘述。 1.4 偶数分频器 如前所述,分频器的基础是计数器,设计分频器的关键在于输出电平翻转的时机。偶数分频最易于实现,要实现占空比为50%的偶数N分频,一般来说有两种方案:一是当计数器计数到N/2-1时,将输出电平进行一次翻转,同时给计数器一个复位信号,如此循环下去;二是当计数器输出为0到N/2-1时,时钟输出为0或1,计数器输出为N/2到N-1时,时钟输出为1或0,当计数器计数到N-1时,复位计数器,如此循环下去。可以根据以上两种方案设计电路和程序。 2 六分频加法电路 2.1 电路的结构设计 前面已经讲到过关于2n分频可以直接通过计数器获得。而对于一些非2的整数次幂的分频,如本次课设的6分频,还需要在基本计数器电路描述中加上复位控制电路。 图2-1 加法分频电路的RTL视图

分频器

L1与C1组成的低通滤波器将200-54的分频点选在1.5kHz,这里将它的分频点恰当进步,主要是单元特性好,更重要是音频的功率八成都会集在中低频,恰当进步低频单元的截止频率,能够充分发扬单元专长,给出的声响将愈加丰满有力度。若是分频点过低,不光丧失了单元优势,反而还会加剧中频单元的担负,导致振幅过载、失真增大等弊端。 尽管中频单元的有用频响宽达800Hz~10kHz,L2、L3与C2、C 3组成的带通滤波器仅取其 1.5~6kHz的一段频带,这也是它的黄金频段。L4、C4构成的高通滤波器将YDQG5-14的分频点定为6kHz,本单元的下限截止频率也获得较高,将愈加轻松自如地在高频段发扬它的专长。因为合理的挑选分频点,3个单元各自都作业在声功率最高的频带,故体系的归纳灵敏度也要比各单元的均匀特性灵敏度高出1~2dB。 分频器元件少,电路也很简单,关于分频电容器最起码的要求是高频特性好,耗费及容量差错小。当前的聚丙烯CBB无极性电容器的耗费角正切值仅为0.08%~0.1%,高频功能优良,体积小、无感、价廉,完全能担任Hi-Fi体系分频电路的需求。本音箱选用耐压为63V的CBB21、CBB22电容器,9.4 uF的用2只4.7 uF的并联即可。高耐压电容在分频器上无大含义,价钱却成倍上升。不要盲目崇拜那些进口货洋电容,这类电容并不一定能显着改进音质,价钱却高得惊人,有时1只10 uF的电容往往超越一只中低频扬声器单元的价格。 分频线圈L的内阻R0巨细直接关系到传输功率与音质,在胆机中分频器与输出变压器二次侧线圈、扬声器音圈及传输馈线呈串联回

(一)、分频器作用和特点 1、基本分频任务:由于现在音箱的种类很多,系统中要采用什么功病能的、几分频的电子分频器还是要灵活配置的,现在通常用的电子频器有2分频、3分频、4分频等区分,超过4分频就显得太复杂和无实际意义了。当然现在的电声技术日新月异,目前还有一些分频器在分频的同时还可以对音频信号进行一些其它方面的处理,但不管什么类型电子分频器的主要功能和任务当然还是分频 2、保护音箱设备:我们知道不同扬声器的工作频率是不一样的,一般来说口径越大的扬声器其低频特性也越好,频率下潜也越低。就好像在相同情况下,18寸扬声器的低音效果一般会比15寸扬声器的低音效果好些;相反中音部分就要采用较小口径的扬声器了,因为通常情况下现在的纸盆振动式扬声器口径越小发出的声音频率也就越高;以此类推高音部分的振动膜片也应该很小才能发出很高频率的声音来。既然扬声器这么复杂,种类又如此繁多,那么如何保障它们能够安全有效的工作就显得很重要了。电子分频器可以提供不同扬声器各自需要的最佳工作频率,让各种扬声器更合理、更安全的工作。设想一下:假如系统中中高音音箱没有经过电子分频器分频,而是直接使用了全频段的音频信号,那么这些中高音音箱在低频信号的冲击下就会很容易损坏,因此,电子分频器除了分频任务外,正常的使用它更重要的功能还有:保护音箱设备。 3、增加声音的层次感:假如一个音响系统中有很多只不同种类的音箱,的确没有使用电子分频器,不同种类的音箱都使用未经分频的全频信号,那不同音箱之间就会有很多频率叠加、重复的部分,声干涉也会变得很严重,声音就会变得模糊不清,声场也会很差而且话筒还会容易产生声反馈。如果使用了电子分频器进行了合理的分频,让不同音箱处在最佳工作状态下,这样不同音箱之间发出的声音频率范围几乎不会重复了,这样就减少了声波互相干涉的现象,声音就会变得格外清晰,音色也会更好、更具有层次感了! (二)、缺点和不足 1、太多分频选择会导致思想混乱:俗话说有利就有弊,和其它专业音响的周边设备一样,电子分频器也不是十全十美的,有些时候系统中需要分频的音箱多了就会显得很复杂,因为不同的音箱就需要有不同的分频点、不同的工作频率段,对于水平一般的音响师来说,在这样的情况下使用电子分频器分频时会让他们觉得无从下手。因此细心仔细的调整是很重要的,同时我们还可以尽量少用4分频,采用2分频或3分频的方法,这样可以简单些,也会让我们的调整思路变得更加清晰些。 2、使用电子分频器后会导致声效下降:虽然使用电子分频器的优点很多,但由于它硬性的规定了不同音箱的工作频率范围,因此也使得这些音箱的效能受到了限制,没有完全发挥出来,浪费了很大一部分资源。例如:一只双15寸的全频音箱不经过电子分频器时可以发出很正常、较大的声音来,但如果经过了电子分频器分频后在200Hz以上频率工作的话,那这只音箱的丰满度和震撼力就会全没有了,因为此时音箱的低音给电子分频器切掉了。同样情况下我们利用电子分频器也切掉了大部分低音音箱的高音部分,虽然这样音色可能会好听了,但不可否认的是低音音箱也浪费掉了大量的能量。这对于音箱数量较多又注重音色的音响系统来说还无所谓,但如果一套音响系统中音箱数量不多又不注重音色只是要大声些,那此时还是不使用电子分频器现实一些。

分频电路的设计

分频电路的设计 在数字电路的设计中,我们会经常遇到分频电路,而且分频电路输出信号频率的稳定性、精确度与整个电路的稳定性有着很大的关系。本文就一些常用分频电路作一总结。 一、n2分频 众所周知,2分频是最简单的分频,通常用D触发器用作反相器即可以实现2分频,要 想实现n2分频,最简单的方法就是将2分频电路级联,n级联在一起就构成了n2分频。 我们以n=5为例,用MAX+plus II进行仿真,电路如图1所示,我们得到的波形如图2所示: 图1 图2 由波形我们可以看出,该电路能实现32分频,但由于它采用的是行波时钟,Q4的输出t。n越大,延时就越大。 与CLK之间延时为5 co 改进图1的电路,我们可以采用同步计数来实现32分频,如图2所示,其中5BITcounter 是在MAX+plus II中用生成的5位二进制加法计数器。Q4输出就是32分频的信号,波形如图4所示。

图3 图4 t。保证了系统的同由于图3是采用同步计数器,所以每个输出的延时都一样,都为 co 步运行。 同样的道理,若n增大时,我们只要改变计数器的位数即可。 二、2n分频 在数字电路的设计中,2n分频也是经常遇到的。对于2n分频,我们常采用两级分频的方法,第一级用来n分频,第二级用作2分频,这样做的目的就是保证输出信号有50%的占空比,若对占空比无要求则可任意实现n分频。 以n=25为例,在MAX+plus II中,利用构造一个5bit模为25的加法计数器,电路如图5所示,out即为50分频后的输出,波形如图6所示。 图5

图6 从图6可以看出,out 与输入时钟CLK 之间的延时是2co t =6ns 。 三、M N 2分频 在一些特殊的数字电路中,可能会用到M N 2分频,由于分频是小数,我们不可能对输入 信号精确地分频,只能保证输出信号的平均频率与理想的分频频率相等。我们这里以26/3分频为例来介绍这种分频方法。 分析:26/3分频的实质就是在26个CLK 周期内产生3个周期的输出信号。我们还是采用采用两级分频方法,目的是为了保证占空比为50%,第一级分频倍数为13/3,即13个CLK 周期内产生3个周期的输出信号。这样我们构造一个模13的4bit 加法计数器,利用门电路输出三个周期信号,计数器从0计到3时A 输出1,计到7时B 输出1,计到12时C 输出1,将A 、B 、C 三路信号相或就得到我们想要的波形,电路如图7所示,波形如图8所示。 图7

实验六--Verilog设计分频器计数器电路答案

实验六 Verilog设计分频器/计数器电路 一、实验目的 1、进一步掌握最基本时序电路的实现方法; 2、学习分频器/计数器时序电路程序的编写方法; 3、进一步学习同步和异步时序电路程序的编写方法。 二、实验内容 1、用Verilog设计一个10分频的分频器,要求输入为clock(上升沿有效),reset(低电平复位),输出clockout为4个clock周期的低电平,4个clock周期的高电平),文件命名为fenpinqi10.v。 2、用Verilog设计一异步清零的十进制加法计数器,要求输入为时钟端CLK(上升沿)和异步清除端CLR(高电平复位),输出为进位端C和4位计数输出端Q,文件命名为couter10.v。 3、用Verilog设计8位同步二进制加减法计数器,输入为时钟端CLK(上升沿有效)和异步清除端CLR(低电平有效),加减控制端UPDOWN,当UPDOWN为1时执行加法计数,为0时执行减法计数;输出为进位端C和8位计数输出端Q,文件命名为couter8.v。 4、用VERILOG设计一可变模数计数器,设计要求:令输入信号M1和M0控制计数模,当M1M0=00时为模18加法计数器;M1M0=01时为模4加法计数器;当M1M0=10时为模12加法计数器;M1M0=11时为模6加法计数器,输入clk上升沿有效,文件命名为mcout5.v。 5、VerilogHDL设计有时钟时能的两位十进制计数器,有时钟使能的两位十进制计数器的元件符号如图所示,CLK是时钟输入端,上升沿有效;ENA是时钟使能控制输入端,高电平有效,当ENA=1时,时钟CLK才能输入;CLR是复位输入端,高电平有效,异步清零;Q[3..0]是计数器低4位状态输出端,Q[7..0]是高4位状态输出端;COUT是进位输出端。 三、实验步骤 实验一:分频器 1、建立工程

TDA2030A与NE5532组成的功放电路

TDA2030A与NE5532组成的功放电路图 作者:admin 来源: TDA2030A与NE5532组成的功放电路图 TDA2030A与NE5532组成的功放电路图 TDA2030A是一个单片集成电路封装 在Pentawatt低频率为目的使用的AB类放大器。与VS = 44V的最大它特别适合于无稳压电源的应用和更可靠的35W驱动电路使用低成本补充型承双。的TDA2030A提供高输出电流,并具有非常lowharmonic 和交叉失真。此外该设备集成了短路tection机制,其中包括晶体管内安排自动限制消耗的功率,以保持对他们的工作点输出安全工作区。一个传统的热关断系统也包括在内。 在标准工作电压下能获得30W的平均功率,这在一般家用情况下已经足够,笔者曾用NE5532前级音调电路推动该集成功放,正如各类电子报刊评价那样获得极佳的效果,遗憾的是这样性格高的集成电路却很少见于市售的功放和多媒体有源音箱中,虽然其外表是如何的赏心悦目和精致漂亮,但是打开外壳,却很难发现它的芳影,而是生产厂家为了节省那几元钱的成本,大都采用诸如2030或其它名不见经传的廉价电路,由于和TDA2030的封装完全一样,可以直接的代替它,可以获得立竿见影的效果,但是必须是正品。以下是应用电原理图,只画出一个声道,以下均只画出一个声道,另一声道原理相同。

在以往电子报刊中常介绍给功放集成电路取消负反馈电容,再加上一个由运算放大器构成的直流伺服电路,使其变成一个纯直流功放电路,事实对TDA2030A,还有LM 3886等,根本不需多此一举,直接取消该电容即可,用数字万用表实际测量输出端,发现它的零点偏移很少,只有几毫伏左右,本人用这样的电路多年还没有烧坏集成块和扬声器的事件发生,况且该集成电路具有过热过流短路保护功能, 该电路中取消了负反馈电路中下面的负反馈电容,变成了纯直流放大电路,大大地拓宽了频率响应,事实证明,只要前级音频输入电容选好,一般用CBB1U,或者用别的发烧品牌如WIMA,等,后级电位就很稳定,不能用一般的电解电容,因为那样有可能有小电流通过,通过放大后造成后级的不稳定,你可以通过对比试听出取消前后的音质绝然不同的效果,特别是高频和低音的拓宽,该电路取消了一般采用运放做伺服电路,使制作变得容易。 另外该电路还采用电流负反馈电路,该电路也是近年来报刊推荐较多的电路,电压负反馈电路相比,其增益随着未级输出电流的增大而增大,这样能使低频重放力度增强,需要指出的是,采用该电路时,电源的功率储备要有余量,建议用150W 的环变。否则不但达不到预期效果,反而使失真加大,如果你的电源变压器功率不大,建议你用传统的电压负反馈方式。

分频器的设计2014-1-10 10.29.8

武汉理工大学《微机原理与接口技术》课程设计报告书

号:
0121105830129
课 程 设 计
题 学 专 班 姓
目 院 业 级 名
分频信号发生器的分析与设计 自动化学院 电气工程及自动化 电气 1107 班 成涛 陈静 教授
指导教师
2014 年
01 月
09 日

武汉理工大学《微机原理与接口技术》课程设计报告书
课程设计任务书
学生姓名: 指导教师: 题 目: 成涛 专业班级: 电气 1107 班 陈静 教授 工作单位: 自动化学院 分频信号发生器的分析与设计
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1. 设:有一输入方波信号 f0(<1MHz) 。要求输出信号:f1=f0/N,N 通过键盘 输入。 2. 画出简要的硬件原理图,编写程序。 3. 撰写课程设计说明书。 内容包括:摘要、 目录、 正文、 参考文献、 附录 (程 序清单) 。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软 件思想,流程,源程序设计及说明等) 、程序调试说明和结果分析、课程设计收 获及心得体会。
时间安排: 12 月 26 日----- 12 月 28 日 查阅资料及方案设计 12 月 29 日----- 01 月 0 2 日 编程 01 月 03 日-----0 1 月 07 日 调试程序 01 月 08 日----- 01 月 09 日 撰写课程设计报告
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日

简单分频时序电路的设计(三分频)

单位:嵌入式系统实验室 姓名:汤晓东 内容:简单分频时序电路的设计(三分频) 时间:2010-7-7 3.练习三 模块源代码: //-------------------文件名div3.v---------------------------------- module div3(clk_in,clk_out,reset ); input clk_in,reset; output clk_out; wire clk_out; integer n1,n2; reg clk1,clk2; always @(posedge clk_in or negedge reset) //检测clk_in的上升沿 begin if (!reset) begin n1=0; clk1<=1'b0; //clk1是对clk_in的三分频 end // 但是占空比为1/3 else if (n1==2) begin n1=0; clk1<=1'b1; end else begin n1=n1+1; clk1<=1'b0; end end always @(negedge clk_in or negedge reset) //检测clk_in的下降沿 begin if (!reset) begin n2=0; clk2<=1'b0; //clk2也是对clk_in的三分频 end else if (n2==2) //占空比为1/3,但是与clk1相差begin //半个时钟周期 n2=0; clk2<=1'b1; end else begin n2=n2+1; clk2<=1'b0; end

NE5532经典电路图

NE5532功放 说到小功率的耳放,不得不提到20世纪的运放之王NE5532,曾经出现在无数的优秀前级放大、调音电路之中,中频温暖细腻厚实,胆味十足,性价比很高!直到今天我们还能很容易地在一些中低档的音响产品中找到它。由于其体积小、电路简单,所以是讲究实用性、低投入的动手派的首选。因为NE5532从面世到如今已历经数载,大家对其电路也非常熟悉,有着多种多样的玩法。在此介绍的耳放的特点是简单、功率小,侧重的是制作的过程。 一、原理分析 NE5532是典型的双极型输入运算放大器,用单个NE5532组成的小功率电路有很多版本,本人通过不断地对比和思考,对那些五花八门的电路图作了修改,最终确定了原理图(图1)。放大倍数是由R3(R4)和R5(R6)来控制的,理论上说如果R3(R4)为1kΩ,R5(R6)为100kΩ,则其放大倍数为100倍,但对于耳放来说,这会引起自激,再说就算真的能达到100倍,效果也不可能好,所以这个电路用于前级时也最好别调成100倍。当然,对于耳放定2~3倍可以让负反馈适量、音质柔和、清晰更通透,但放大倍数也不能太小,否则也会影响音质,大家可以反复调试,达到自己满意的效果。笔者是将R3(R4)定为1kΩ,R5(R6)定为20 kΩ,即2倍。C5(C6)是输入回路的对地通路,在用于耳放电路时应该加大,原理图中的值为22 uF,但用于此耳放应该加大到100 uF。 在这里值得一提的是电源问题,如果你是使用的稳压电源,要注意稳压电源的滤波要给足,因为本电路本身就非常简单,那么对元器件的选取就比较挑剔,建议在选材时尽量选择质量好一点的元器件。 二、PCB绘制 笔者使用Protel 99 SE进行布线设计,大家看到的这个PCB图(图2)是我画的第三版,也是我最满意的一版,前几版都存在着飞线,而这一版是没有的,网上的很多版本都存在着飞线的问题,这对挑剔的动手派是不能容忍的。由于面积小,所以在接地方面要尽量争取一点接地,输入和输出端也可以根据实际情况进行改动。将所有的元器件留有空间、整齐排位,并看上去还很和谐。 三、选材 对于材料的选取,相信各地的朋友都有不同的渠道,因为笔者在重庆主城区,元器件比较好采购,这次除了买新的元件外,还买了些旧的补品,用了不足10元。 1.极性电容:选用品牌ELNA,C1(C2)选10 uF/50V,C5(C6)选100 uF/50V,C9(C10)可以选47 uF~220 uF。本人三版都用47 uF,没有影响,大家可以自行改动。2.无极性电容:购买时最好配对,笔者选用了二手的德国WIMA和瑞典EVOX白色方块MMK薄膜电容。C3(C4)为200pF (或220pF),C7(C8)为0.1 uF。 3.NE5532:选用美国Signetics公司生产的NE5532,俗称为大S5532,是众多生产5532的厂家中声音最好听的一款(早已经停产多年),是当年的四大王牌运放之首。笔者只找到了二手的,大家如果找不到,选PHILIP的也可以,当然陶封的也不错。 4.所有的电阻一律用五环的金属膜电阻,笔者收藏的国产大红袍也上用了,不再详述。 5.电路用的覆铜板是捡的边角料(听说别人以前是拿来做电视机电路板用的,相信不会很差,厚度也够了)。 四、加工

实验六Verilog设计分频器计数器电路答案

实验六V e r i l o g设计分频器/计数器电路 一、实验目的 1、进一步掌握最基本时序电路的实现方法; 2、学习分频器/计数器时序电路程序的编写方法; 3、进一步学习同步和异步时序电路程序的编写方法。 二、实验内容 1、用Verilog设计一个10分频的分频器,要求输入为clock(上升沿有效),reset(低电平复位),输出clockout为4个clock周期的低电平,4个clock 周期的高电平),文件命名为。 2、用Verilog设计一异步清零的十进制加法计数器,要求输入为时钟端CLK (上升沿)和异步清除端CLR(高电平复位),输出为进位端C和4位计数输出端Q,文件命名为。 3、用Verilog设计8位同步二进制加减法计数器,输入为时钟端CLK(上升沿有效)和异步清除端CLR(低电平有效),加减控制端UPDOWN,当UPDOWN 为1时执行加法计数,为0时执行减法计数;输出为进位端C和8位计数输出端Q,文件命名为。 4、用VERILOG设计一可变模数计数器,设计要求:令输入信号M1和M0控制计数模,当M1M0=00时为模18加法计数器;M1M0=01时为模4加法计数器;当M1M0=10时为模12加法计数器;M1M0=11时为模6加法计数器,输入clk 上升沿有效,文件命名为。 5、VerilogHDL设计有时钟时能的两位十进制计数器,有时钟使能的两位十进制计数器的元件符号如图所示,CLK是时钟输入端,上升沿有效;ENA是

时钟使能控制输入端,高电平有效,当ENA=1时,时钟CLK才能输入;CLR 是复位输入端,高电平有效,异步清零;Q[3..0]是计数器低4位状态输出端,Q[7..0]是高4位状态输出端;COUT是进位输出端。 三、实验步骤 实验一:分频器 1、建立工程 2、创建Verilog HDL文件 3、输入10分频器程序代码并保存 4、进行综合编译 5、新建波形文件 6、导入引脚 7、设置信号源并保存 8、生成网表 9、功能仿真 10、仿真结果分析 由仿真结果可以看出clockout输出5个clock周期的低电平和5个clock 的高电平达到10分频的效果,设计正确。 实验二:十进制加法计数器(异步清零) 1、建立工程 2、创建Verilog HDL文件 3、输入加法计数器代码并保存 4、进行综合编译 5、新建波形文件 6、导入引脚 7、设置信号源并保存 8、生成网表 9、功能仿真 10、仿真结果分析

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

如图1所示是二分频电路的梯形图和时序图

如图1所示是二分频电路的梯形图和时序图。 待分频的脉冲信号加在X000端,设M101和Y000的初始状态为“0”。当第一个脉冲信号的上升沿到来时,M101产生一个单脉冲(如图所示),Y000被置“1”,当M101置“0”时,Y000仍保持置“1”;当第二个脉冲信号的上升沿到来时,M101又产生一个单脉冲(如图所示),M101常闭触点断开,使Y000由“1”变“0”, 当M101置“0”时,Y000仍保持置“0”直到第三个脉冲到来。当第三个脉冲到来时,重复上述过程。由此可见,X000每送两个脉冲,Y000产生一个脉冲,完成对输入信号的二分频。 程序清单: LD X000 PLS M101 LD M101 ANI Y000 LDI M101 AND Y000 OUT Y000 END

在许多控制场合,需要对信号进行分频。下面以如图所示的二分频程序为例来说明PLC是如何来实现分频的。 图二分频程序 a)梯形图 b)时序图 图中,Y30产生的脉冲信号是X1脉冲信号的二分频。图中用了三个辅助继电器M160、M161和M162。当输入X1在t1时刻接通(ON),M160产生脉宽为一个扫描周期的单脉冲,Y30线圈在此之前并未得电,其对应的常开触点处于断开状态,因此执行至第3行程序时,尽管M160得电,但M162仍不得电,M162的常闭触点处于闭合状态。执行至第4行,Y30得电(ON)并自锁。此后,多次循环扫描执行这部分程序,但由于M160仅接通一个扫描周期,

M162不可能得电。由于Y30已接通,对应的常开触点闭合,为M162的得电做好了准备。等到t2时刻,输入X1再次接通(ON),M160上再次产生单脉冲。此时在执行第3行时,M162条件满足得电,M162对应的常闭触点断开。执行第4行程序时,Y30线圈失电(OFF)。之后虽然X1继续存在,由于M160是单脉冲信号,虽多次扫描执行第4行程序,Y30也不可能得电。在t3时刻,X1第三次ON,M160上又产生单脉冲,输出Y30再次接通(ON)。t4时刻,Y30再次失电(OFF),循环往复。这样Y30正好是X1脉冲信号的二分频。由于每当出现X1(控制信号)时就将Y30的状态翻转(ON/0FF/ON/0FF),这种逻辑关系也可用作触发器

实验四-模10计数器与20分频电路

●实验名称:利用VerilogHDL设计一个模10加法计数器和一个时钟20分频电路 ●实验目的: 1.熟悉用可编程器件实现基本时序逻辑电路的方法。 2.了解计数器的Verilog描述方法,以及偶数分频的思路与原理。 ●预习要求: 1.回顾数字电路中加法计数器的相关知识。 ●实验说明: 1.用MAX+plus II软件开发PLD器件有两种设计输入方式:原理图输入和HDL语言 输入方式,或者将两者结合起来,一部分电路采用原理图,另一部分采用HDL语 言。 2.加法计数器表示随着时钟脉冲的输入,计数器从0开始正向计数,直到计满规定的 模值后归零,然后依次循环计数。模10计数器表示,计数器从0000~1001循环计 数。 3.时钟分频电路的功能是,对输入的时钟频率进行偶数倍的降频(倍增其周期),20 分频意味着分频后产生的新时钟周期是输入时钟的20倍(频率降为原频率1/20)。 ●实验内容与步骤: 1.新建一个属于自己的工程目录。 2.用VerilogHDL语言方式编写一个模10加法计数器cnt_10。 3.对此计数器模块进行编译和仿真。 4.用VerilogHDL语言方式编写一个20分频模块fenpin_20,对输入时钟进行20分频 处理。 5.对此分频电路进行仿真。 ●实验报告要求: 1.将自己绘制的电路图或者编写的VerilogHDL代码,截图或者复制到实验报告中。 2.将代码关键位置写上相应注释(可用中文)。 3.对仿真波形截图,贴到实验报告中。 ●实验图表与数据: 1. 模10加法计数器cnt_10的V erilog代码:

2. 模10加法计数器cnt_10的仿真波形: 3. 10分频模块fenpin_10的Verilog代码: 4. 10分频模块fenpin_10的仿真波形:

ne5532应用电路

用TDA2030和NE5532制作的功放电路(自己用手工焊接的) 前段时间突然想做一个音响,所以就从网上找电路资料,找到了这篇功放电路,觉得很不错,拿出来分析,我按照这个电路用面包板焊了一块,试听了一下效果不错,可惜LM1875太贵,所以就用TDA2030替代的。 LM1875T是美国国家半导体公司九十年代初推出的一款音频功放电集成电路,采用TO-220封装,外围元件少,但是性能优异,具有频率响应宽和速度快等特点,从九十年代初一直到现在还被广大音响爱好者推荐。最可贵的是其价格已从当初的十几元降至现在的八九元,最适合于不想花太多的钱又想过过发烧隐的爱好者业余制作。该IC最的优点是在小功率输出时的音质能直逼中高档音响的听音效果, 在标准工作电压下能获得30W的平均功率,这在一般家用情况下已经足够,笔者曾用NE5532前级音调电路推动该集成功放,正如各类电子报刊评价那样获得极佳的效果,遗憾的是这样性格高的集成电路却很少见于市售的功放和多媒体有源音箱中,虽然其外表是如何的赏心悦目和精致

漂亮,但是打开外壳,却很难发现它的芳影,而是生产厂家为了节省那几元钱的成本,大都采用诸如2030或其它名不见经传的廉价电路,由于和TDA2030的封装完全一样,可以直接的代替它,可以获得立竿见影的效果,但是必须是正品。以下是应用电原理图: JP1为音频输入端,在这里省去耦合电容,因为考虑到现在的音源CD ,VCD ,DVD,TURN,电脑声卡等,基本上输出级都有隔直电容,U2 和前面的阻容元件组成反馈式音调电路,,U1为前级线性放大部分,设为2倍的放大倍数。可根据实际情况来改变它的增益大小, DW1,DW2为稳压管,如果电源变器为双12V ,则可以省去它。 后级功放部分: 在以往电子报刊中常介绍给功放集成电路取消负反馈电容,再加上一个由运算放大器构成的直流伺服电路,使其变成一个纯直流功放电路,事实对LM1875,根本不需多此一举,直接取消该

倍频电路与分频电路的设计

倍频电路与分频电路的 设计 文件编码(GHTU-UITID-GGBKT-POIU-WUUI-8968)

课程设计说明书 课程名称:模拟电子技术课程设计 题目:倍频电路与分频电路的设计 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日 一、设计任务与要求 1.设计一倍频电路,能完成2倍频、4倍频(甚至更多)功能。且这些倍频能通过拨 可用晶振来完成);动开关转换。(振荡电路自行设计、制作,振荡频率应不低于11MH Z 2.设计一分频电路,能完成1/2分频、1/4分频(甚至更低)功能。且这些分频能通过拨 可用晶振来完成)。动开关转换。(振荡电路自行设计、制作,振荡频率应不低于11MH Z 二、方案设计与论证 随着通信技术的日益发展,倍频技术应用的领域也日益增长。例如CPU的倍频,最初CPU的速度与系统总线的速度是一样的,但随着CPU的速度要求越高,相应的倍频技术也就得到了迅速的发展。其工作原理是使系统总线工作在低频状态,而CPU的运行速度可以通过倍频技术来提升。改变频率的方法有很多种,本文只讨论几种:傅里叶法,锁相环法及乘法器与滤波器法。

方案一、傅里叶法:这是一种最简单的变频方式,它采用了傅里叶级数。任何一个周期信号都能表示为其基波和其谐波的和,如果将变换振荡电路输出的正弦波为方波,那它可以用一下的公式表示: 接着就需要选择正确的谐波,接着可以通过一个带通滤波器来选择所需的谐波。缺陷:自适用于低频。 方案二、锁相环法:在这个方法中,其输出频率不是直接是基准频率的输出,而是通过一个电压控制的振荡电路输出,它是通过一个相位比较器和基准电路频率同步。要被比较的频率是要除以倍频因子。由于频率的分割,压控振荡电路必须产生一个乘以n的频率。此过程便实现了频率的改变。局限:在大的频率范围内容易实现,起抖动差。 方案三、乘法器和滤波器法:此方法是,首先建立一个振荡电路,使其产生正弦波,而后通过一个乘法器,使其实现倍频,再通过一个滤波器,选择我们需要的频率,从而实现倍频。分频是通过JK触发器实现,其原理是利用JK触发器的保持及翻转功能,实现分频,再通过一个滤波整流电路,得到所需的基波。其大致框图如下图(1): 三、单元电路设计与参数计算 1、LC三点式正弦波振荡电路原理图如下图(2)所示,其中包括输入滤波电路和输出滤波电路,消除噪音信号。其产生的正弦波频率主要与C1、C6和L3相关。计算公式如下: 图(2)

2.5分频电路的设计

数电第二次大作业 --2.5分频电路的设计 班级:001111 姓名:江新远 00111116 时间:2013年6月16日

一、设计要求 利用所学数字电路知识设计2.5分频电路。 二、设计思路 本次数电实验共采用两种设计方案,各方案具体实现思想如下: 方案一:首先进行模3的计数,在计数到2时,将输出时钟赋为'1',而当回到计数0时,又赋为0,这样,当计数值为2时,输出时钟才为1,因此,只要保持计数值2为半个输入时钟周期,即可实现2.5分频时钟。因此,保持2为半个时钟周期即是该设计的关键。从中可以发现,因为计数器是通过时钟上升沿计数,故可在计数为2时对计数触发时钟进行翻转,那么,时钟的下降沿就变成了上升沿。即在计数值为2期间的时钟下降沿变成了上升沿,也就是说,计数值2只保持了半个时钟周期。由于时钟翻转下降沿变成上升沿,因此,计数值变为0。所以,每产生一个 2.5分频时钟的周期,触发时钟都要翻转一次。 方案二:将2.5分频电路分解为两个五分频电路,其中一个为上升沿触发,另外一个为下降沿触发,两个电路之间存在2.5个时钟脉冲的间隔,将这两个5分频电路的输出用或门进行或运算,即可得出所需2.5分频电路。

三、电路设计过程 方案一: 1. 实验原理图如下。电路是一个分频系数为 2.5的分频器电路,该电路是用VHDL 来设计半整数分频器的。它由模3计数器、异或门和D 触发器组成。 2. 其中模3计数器部分可以用74LS161实现,也可以用VHDL 直接编写,本次设计采用VHDL 语言编写模3计数器。下面是模3计数器的VHDL 源代码 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity dec is port( fin:in std_logic; fout:buffer std_logic); end dec; architecture fpq of dec is signal clk,div2:std_logic; signal count:std_logic_vector(3 downto 0); signal preset:std_logic_vector(3 downto 0):="0011"; begin clk<=fin xor div2; p1:process(clk) begin if clk'event and clk='1'then if(count="0000")then count<=preset-1; fout<='1'; 异或门 带预置数功能的模N 减法计数器 2分频计数器

NE5532_电子分频电路_重低音_高音

NE5532 电子分频电路重低音高音 1.我们去音响市场时总能听到一些很强的低音很锐耳的高音,他那音箱也不见得很夸张,老板说那是什么什么功放块的音响,可是当我们好奇DIY的板子时,就算接的喇叭再好也没他那效果,那就困惑了。我们也挺喜欢买 2.1音响,这2。1又是个啥意思?其实一切源于前级分频(后及分频也行,只是可能分频不是很突出)。你说这前级分频那个复杂?可不是,只要你会做板子,这个同样可以轻松搞定。NE5532做的就是不错的分频器了 上图为NE5532做成的二阶高通和低通波器,也就我们要的高音和低音前级分频器,对于低音有C6=1.41 4/(2π f R),R=R1=R6=10K,可见改变公式里的参

数就可以得到不同的分频点。就是说低于f(上图大约为250Hz)的波形顺利通过,大于f频率的波形会大大衰减,就是低通。至于高通,不用说了吧。那47K 的电阻可以不要,其上的电容电阻可以根据听觉来选取大小,输入端可以加入缓冲级,输出端最好加个后级运放,不然不好去推功放块。还有中频是不能少的,要是少了中频声音就没那么好听了,这中频怎么做看了上面应该懂了吧。当然,NE5532换成其他也可以,只要引脚对的上。 2. 如图为三分频电路图,是一个比较经典的三分频电路。电路元件较为简单。 图2是3分频电路,用JK-FF实现3分频很方便,不需要附加任何逻辑电路就能实现同步计数分频。但用D-FF实现3分频时,必须附加译码反馈电路,如图2所示的译码复位电路,强制计数状态返回到初始全零状态,就是用NOR门电路把Q2,Q1=“11B”的状态译码产生“H”电平复位脉冲,强迫FF1和FF2同

相关主题