搜档网
当前位置:搜档网 › 三相六拍步进电机FPGA实现

三相六拍步进电机FPGA实现

三相六拍步进电机FPGA实现
三相六拍步进电机FPGA实现

三相六拍步进电机的FPGA实现

一.实验要求

用硬件描述语言描述一个控制三相六拍步进电机相序的控制模块。该控制电路模块的输入信号分别为方向控制信号dir,复位信号reset和时钟信号clk,输出信号分别是步进电机的三相绕组A、B和C。当复位信号reset为高电平时,步进电机的三相绕组A、B和C只有A相通电,即输出信号只有A为高电平,B和C均为低电平;复位信号reset为低电平,方向控制信号dir为低电平时,在时钟信号的控制下,相序为A→AB→B→BC→C→AC→A;方向控制信号dir为高电平时,相序为A→AC→C→BC→B→AB→A。时序图如图所示。编写仿真程序,观察输入激励和输出波形。

二.实验原理

步进电机是一种将电脉冲转化为角位移的执行机构。也就是当步进驱动器接收到一个脉冲信号时,它就驱动步进电机按设定的方向转动一个固定的角度,它的旋转是以固定的角度一步一步运行的。

步进电机控制器主要由三部分组成

1.频率发生器

步进电动机的转动是由脉冲控制的,通过控制脉冲频率即可控制电机转动的速度和加速度,从而达到调速的目的。此处设置了四档调速。CLK是外部输入频率,P2和P1是分频模式选择,P2、P1:00:外部输入脉冲频率(不分频);P2、P1:01:对外部输入频率4分频;P2、P1:10:对外部输入频率8分频;P2、P1:11:对外部输入频率16分频;处理过的脉冲信号由CK端送入脉冲分配器。

2.方向锁存器

步进电机的状态分为启动和停止,启动后又分为正转、反转。据此电机转动控制上设置了三个信号输入端,Z为正转启动脉冲信号,F为反转脉冲信号,T为停止的脉冲信号。通过方向锁存器将输入的脉冲信号转为电平信号,并且保证信号的唯一性。

根据三相线圈轮流通电方式的不同,三相反应式步进电动机有三相单三拍、三相双三拍、

三相六拍等三种通电方式,实际应用中三相单三拍运行方式很少采用,因为这种运行方式每次只有一相绕组通电,容易使转子在平衡位置附近产生摆动,因而稳定性不好。所以此控制器设置了后两种拍通电方式,M为供电方式的选择,M=1为三相六拍,M=0为三相双三拍。

3.脉冲分配器

三相六拍运行的供电方式是:A—AB—B— BC—C—CA—A……一个循环周期换接六次,有六种通电状态,故称三相六拍运行方式。如果每次都是两相控制绕组同时通电,即按AB—BC—CA— AB……的顺序通电为三相双三拍.根据M的状态,控制脉冲的输出情况。脉冲分配器设计的主要思路是,设置一个6进制的计数器。三相六拍正转为1-6循环,反转为6-1循环;三相双三拍正转为2-4-6循环,反转为6-4-2循环。

三.实验结果

分频器和方向锁存器都很好设计,下面只给出脉冲分配器的Verilog源程序:

module motor(clk,reset,dir,a,b,c);

input clk,reset,dir;

output a,b,c;

reg a,b,c;

parameter st0=3'b001,st1=3'b011,st2=3'b010,st3=3'b110,st4=3'b100,st5=3'b101;

reg[2:0] pst,nst;

always @(posedge clk)

begin

if(reset)

pst<=st0;

else

pst<=nst;

end

always @(pst or dir)

begin

if(!dir)

begin

case(pst)

st0:nst<=st1;

st1:nst<=st2;

st2:nst<=st3;

st3:nst<=st4;

st4:nst<=st5;

st5:nst<=st0;

endcase

end

else

begin

case(pst)

st0:nst<=st5;

st1:nst<=st0;

st2:nst<=st1;

st3:nst<=st2;

st4:nst<=st3;

st5:nst<=st4;

endcase

end

end

always @(pst)

begin

case(pst)

st0:{c,b,a}<=st0;

st1:{c,b,a}<=st1;

st2:{c,b,a}<=st2;

st3:{c,b,a}<=st3;

st4:{c,b,a}<=st4;

st5:{c,b,a}<=st5; endcase

end

endmodule

仿真结果如下图:

转载:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity mc is

port(inclk: in std_logic;

smzfd: in std_logic_vector(3 downto 0);

outputcba:out std_logic_vector(2 downto 0)); end mc;

architecture arch_mc of mc is

signal sa : std_logic_vector(2 downto 0); begin

process (inclk ,smzfd) is

begin

if (rising_edge(inclk) ) then

if (smzfd="1100") then

if (sa=6) then

sa<="001";

else

sa<=sa+1;

end if;

elsif (smzfd="1010") then

if (sa=1) then

sa<="110";

else

sa<=sa-1;

end if;

elsif (smzfd="1000") then

sa<="000";

elsif (smzfd="0100") then

if (sa=6) then

sa<="010";

else

sa<=sa+2;

end if;

elsif (smzfd="0010") then

if (sa=2) then

sa<="110";

else

sa<=sa-2;

end if;

elsif (smzfd="0000") then

sa<="000";

end if;

end if;

end process;

with sa select

outputcba<="001" when "001", --1

"011" when "010", --2

"010" when "011", --3

"110" when "100", --4

"100" when "101", --5

"101" when "110", --6

"000" when others;--0 end arch_mc ;

步进电机控制实验

步进电机控制实验 一、实验目的: 了解步进电机工作原理,掌握用单片机的步进电机控制系统的硬件设计方法,熟悉步进电机驱动程序的设计与调试,提高单片机应用系统设计和调试水平。 二、实验容: 编写并调试出一个实验程序按下图所示控制步进电机旋转: 三、工作原理: 步进电机是工业过程控制及仪表中常用的控制元件之一,例如在机械装置中可以用丝杠把角度变为直线位移,也可以用步进电机带螺旋电位器,调节电压或电流,从而实现对执行机构的控制。步进电机可以直接接收数字信号,不必进行数模转换,用起来非常方便。步进电机还具有快速启停、精确步进和定位等特点,因而在数控机床、绘图仪、打印机以及光学仪器中得到广泛的应用。 步进电机实际上是一个数字/角度转换器,三相步进电机的结构原理如图所示。从图中可以看出,电机的定子上有六个等分磁极,A、A′、B、B′、C、C ′,相邻的两个磁极之间夹角为60o,相对的两个磁极组成一相(A-A′,B-B′,C-C′),当某一绕组有电流通过时,该绕组相应的两个磁极形成N极和S极,每个磁极上各有五个均匀分布矩形小齿,电机的转子上有40个矩形小齿均匀地分布的圆周上,相邻两个齿之间夹角为9°。 当某一相绕组通电时,对应的磁极就产生磁场,并与转子形成磁路,如果这时定子的小齿和转子的小齿没有对齐,则在磁场的作用下,转子将转动一定的角度,使转子和定子的齿相互对齐。由此可见,错齿是促使步进电机旋转的原因。 三相步进电机结构示意图 例如在三相三拍控制方式中,若A相通电,B、C相都不通电,在磁场作用下使转子齿和A相的定子齿对齐,我们以此作为初始状态。设与A相磁极中心线对齐的转子的齿为0

步进电机有哪四大缺点

步进电机是一种感应电机,它的工作原理是利用电子电路,将直流电变成分时供电的,多相时序控制电流,用这种电流为步进电机供电,步进电机才能正常工作,驱动器就是为步进电机分时供电的,多相时序控制器。随着微电子和计算机技术的发展,步进电机的需求量与日俱增,在国民经济领域内都有应用。 虽然步进电机被广泛应用,但是无可避免的,也会有它的缺陷。毕竟任何东西都是双面性的,有好的一面,必然也有不好的一面。那么步进电机到底有什么缺点呢?下面维科特将给您简单介绍。 步进电机四大缺点: 1、步进电机在正常情况下运转不能达到比较高的转速。 2、步进电机在体积重量方面没有什么优势,能源利用率比较低。 3、步进电机如果超过负载时的话,就会破坏同步情况,导致在高速工作的时候产生振动以及噪声。 4、步进电机如果控制不好的话,就会产生共振的情况。

深圳市维科特机电有限公司成立于2005年,是步进电机产品的销售、系统集成和应用方案提供商。我们和全球产品性价比高的生产厂家合作,结合本公司专家团队多年的客户服务经验,给客户提供有市场竞争力的步进电机系统解决方案。我们的主要产品有信浓(SHINANO KENSHI)混合式步进电机、日本脉冲(NPM)永磁式步进电机、减速步进电机、带刹车步进电机、直线步进电机、空心轴步进电机、防水步进电机以及步进驱动器、减振垫、制振环、电机引线、拖链线、齿轮、同步轮、手轮等专业配套产品。我们还供应德国TRINAMIC驱动芯片和日本NPM运动控制芯片。根据客户配套需要,我们还可以提供其他种类及其他品牌微电机产品的配套服务。也提供NPM的线性磁轴电机(直线电机)及技术支持和服务。

西门子PLC课程设计三相六拍步进电动机控制程序的设计与调试

机电工程学院 课程设计说明书设计题目: 三相六拍步进电动机控制程序的设计与调试 学生姓名: *** 专业班级:机制***** 学号:************ 指导教师: *** 2012年12 月08 日

内容摘要 步进电动机具有快速起停、精确步进和定位等特点,所以常用作工业过程控制及仪器仪表的控制元件。目前,比较典型的控制方法是用单片机产生脉冲序列来控制步进电机。但采用单片机控制, 不仅要设计复杂的控制程序和I /O 接口电路, 实现比较麻烦, 而且对工业现场的恶劣环境适应性差, 可靠性不高。 使用PLC可编程控制器实现三相六拍步进电动机驱动,可使步进电动机东芝的抗干扰能力强,可靠性高,同时,由于实现了模块化结构,是系统结构十分灵活,而且编程语言简短易学,便于掌握,可以进行在线修改,柔性好,体积小,维修方便。 本设计是利用PLC做三相六拍步进电动机的控制核心,用按钮开关的通断来实现对步进电机正,反转控制,而且正,反转切换无须经过停车步骤。其次可以通过对按钮的控制来实现对高,低速度的控制。充分发挥PLC的功能,最大限度地满足被控对象的控制要求,是设计PLC控制系统的首要前提,这也是设计最重要的一条原则。本设计更加便于实现对步进电机的制动化控制。 关键词:PLC控制;三相六拍;步进电动机;电机正反转

目录 引言 (1) 第1章步进电动机和PLC简介 (2) 1.1步进电动机 (2) 1.1.1三相六拍步进电动机 (2) 1.2PLC简介 (3) 1.2.1可编程控器概述 (3) 1.2.2 可编程控制器的定义 (3) 1.2.3 PLC的特点 (3) 第2章三相六拍步进电动机控制程序的设计 (5) 2.1控制程序流程图及软件模块 (5) 2.2梯形图程序设计 (7) 2.2.1 CPU的选择 (7) 2.2.2输入输出编址 (7) 2.2.3状态真值表 (7) 2.3梯形图程序 (8) 2.4三相六拍步进电机控制语句表 (12) 2.5程序的运行及调试 (14) 2.6I/O接线图 (16) 结论 (17) 设计总结 (18) 谢辞 .................................................. 错误!未定义书签。参考文献............................................... 错误!未定义书签。

步进电动机控制方法

<<技能大赛自动线的安装与调试>>项目二等奖 心得二 心得二:步进电机的控制方法 我带队参加《2008年全国职业院校技能大赛自动线的安装与调试》项目,我院选手和其他院校的三位选手组成了天津代表队,我院选手所在队获得了《2008年全国职业院校技能大赛自动线的安装与调试》项目二等奖,为天津市代表队争得了荣誉,也为我院争得了荣誉。以下是我这个作为教练参加大赛的心得二:步进电机的控制方法 《2008年全国职业院校技能大赛自动线的安装与调试》项目的主要内容包括如气动控制技术、机械技术(机械传动、机械连接等)、传感器应用技术、PLC控制和组网、步进电机位置控制和变频器技术等。但其中最为重要的就是PLC方面的知识,而PLC中最重要就是组网和步进电机的位置控制。 一、 S7-200 PLC 的脉冲输出功能 1、概述 S7-200 有两个置PTO/PWM 发生器,用以建立高速脉冲串(PTO)或脉宽调节(PWM)信号波形。 当组态一个输出为PTO 操作时,生成一个50%占空比脉冲串用于步进电机或伺服电 机的速度和位置的开环控制。置PTO 功能提供了脉冲串输出,脉冲周期和数量可由用户控制。但应用程序必须通过PLC内置I/O 提供方向和限位控制。 为了简化用户应用程序中位控功能的使用,STEP7--Micro/WIN 提供的位控向导可以帮助您在几分钟内全部完成PWM,PTO 或位控模块的组态。向导可以生成位置指令,用户可以用这些指令在其应用程序中为速度和位置提供动态控制。 2、开环位控用于步进电机或伺服电机的基本信息 借助位控向导组态PTO 输出时,需要用户提供一些基本信息,逐项介绍如下: ⑴最大速度(MAX_SPEED)和启动/停止速度(SS_SPEED) 图1是这2 个概念的示意图。 MAX_SPEED 是允许的操作速度的最大值,它应在电机力矩能力的范围。驱动负载所需的力矩由摩擦力、惯性以及加速/减速时间决定。

步进电机结构及工作原理简介

步进电机结构简介 按照励磁方式分类,步进电机可分为反应式、永磁式和感应子式。其中反应式步进电机用的比较普遍,结构也较简单。本课题采用的也是此类电机。 反应式步进电机又称为磁阻式步进电机,其典型结构如图1所示。这是一台三相电机,定子铁心由硅钢片叠成,定子上有6个磁极,每个磁极上又各有5 个均匀分布的矩形小齿。三相电机共有三套定子控制绕组,绕在径向相对的两个磁极上的一套绕组为一相。转子也是由叠片铁心构成,转子上没有绕组,而是由40个矩形小齿均匀分布在圆周上,相邻两 齿之间的夹角为9度。 下面简述其工作原理。当某相绕组通 电时,对应的磁极就会产生磁场,并与转 子形成磁路。若此时定子的小齿与转子的 小齿没有对齐,则在磁场的作用下,转子 转动一定的角度使转子齿与定子齿对应。 由此可见,错齿是促使步进电机旋转的根 本原因。例如,在单三拍运行方式中,当 A相控制绕组通电,而B、C相都不通电时, 由于磁通具有力图走磁阻最小路径的特 点,所以转子齿与A相定子齿对齐。若以此作为初始状态,设与A相磁极中心磁极的图1 步进电机剖面结构转子齿为0号齿,由于B相磁极与A相磁极相差120度,且120度/9度=13.333不为整数,所以,此时13号转子齿不能与B相定子齿对齐,只是靠近B相磁极的中心线,与中心线相差3度。如果此时突然变为B相通电,而A、C相都不通电,则B相磁极迫使13号小齿与之对齐,整个转子就转动3度。此时称电机走了一步。 同理,我们按照A→B→C→A顺序通电一周,则转子转动9度。转速取决于各控制绕组通电和断电的频率(即输入脉冲频率),旋转方向取决于控制绕组轮流通电的顺序。如上述绕组通电顺序改为A→C→B→A······则电机转向相反。 这种按A→B→C→A······方式运行的称为三相单三拍,“三相”是指步进电机具有三相定子绕组,“单”是指每次只有一相绕组通电,“三拍”是指三次换接为一个循环。 此外,三相步进电机还可以以三相双三拍和三相六拍方式运行。三相双三拍就是按AB→BC→CA→AB······方式供电。与单三拍运行时一样,每一循环也是换接3次,共有3种通电状态,不同的是每次换接都同时有两相绕组通电。三相六拍的供电方式是A→AB→B→BC→C→CA→A······每一循环换接六次,共

三相六拍步进电机控制系统设计汇编

1 引言 1.1课程设计任务和要求 课程设计任务: 设计一个三相步进电机控制系统,设计一个计算机步进电机程序控制系统,可以对步进电机的转速、转向以及位置进行控制。通过设计,掌握步进电机的工作原理、掌握步进电机控制系统的设计原理、设计步骤,进一步提高综合运用知识的能力。 要求完成的主要任务: (1)设计接口电路和驱动电路,对步进电机进行控制。 (2)选择控制算法,编写控制程序,实现三相步进电机在六拍工作方式下先正转90度,然后再反转60度,要求其速度可调,转向可控。 (3)写出设计说明书。 课程任务要求: (1)查阅资料,确定设计方案 (2)选择器件,设计硬件电路,并画出原理图和PCB图 (3)画出流程图,编写控制程序 (4)撰写课程设计说明书 2 步进电机的概述 2.1 步进电机的特点 1)一般步进电机的精度为步进角的3-5%,且不累积。 2)步进电机外表允许的温度高。步进电机温度过高首先会使电机的磁性材料退磁,从而导致力矩下降乃至于失步,因此电机外表允许的最高温度应取决于不同电机磁性材料的退磁点;一般来讲,磁性材料的退磁点都在摄氏130度以上,有的甚至高达摄氏200度以上,所以步进电机外表温度在摄氏80-90度完全正常。 3)步进电机的力矩会随转速的升高而下降。当步进电机转动时,电机各相绕组的电感将形成一个反向电动势;频率越高,反向电动势越大。在它的作用下,电机随频率(或速度)的增大而相电流减小,从而导致力矩下降。 4)步进电机低速时可以正常运转,但若高于一定速度就无法启动,并伴有啸叫声。步

进电机有一个技术参数:空载启动频率,即步进电机在空载情况下能够正常启动的脉冲频率,如果脉冲频率高于该值,电机不能正常启动,可能发生丢步或堵转。在有负载的情况下,启动频率应更低。如果要使电机达到高速转动,脉冲频率应该有加速过程,即启动频率较低,然后按一定加速度升到所希望的高频(电机转速从低速升到高速)。2.2 步进电机的工作原理 步进电机是一种用电脉冲进行控制 ,将电脉冲信号转换成相位移的电机,其机械位移和转速分别与输入电机绕组的脉冲个数和脉冲频率成正比,每一个脉冲信号可使步进电机旋转一个固定的角度.脉冲的数量决定了旋转的总角度 ,脉冲的频率决定了电机运转的速度.当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度(称为“步距角”),它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速的目的。 2.3 步进电机的技术参数 1)空载启动频率 即步进电机在空载情况下能够正常启动的脉冲频率,如果脉冲频率高于该值,电机不能正常启动,可能发生丢步或堵转。在有负载的情况下,启动频率更低。如果要使电机达到高速转动,脉冲频率应该有加速过程,即启动频率较低,然后一定加速度升到所希望的高频(电机转速从低速升到高速)。 2)电机固有步距角 它表示控制系统每发一个步进脉冲信号,电机所转动的角度。电机出厂时给出了一个步距角的值,如86BYG250A型电机给出的值为0.9°/1.8°(表示半步工作时为0.9°、整步工作时为 1.8°),这个步距角可以称之为‘电机固有步距角’,它不一定是电机实际工作时的真正步距角,真正的步距角和驱动器有关。 3)步进电机的相数 是指电机内部的线圈组数,目前常用的有二相、三相、四相、五相步进电机。电机相数不同,其步距角也不同,一般二相电机的步距角为0.9°/1.8°、三相的为0.75°/1.5°、五相的为0.36°/0.72°。在没有细分驱动器时,用户主要靠选择不同相数的步进电机来满足自己步距角的要求。如果使用细分驱动器,则‘相数’将变得没有意义,用户只需在驱动器上改变细分数,就可以改变步距角。

三相步进电机原理与控制方法资料(精)

本模块由45BC340C型步进电机及其驱动电路组成。 (一步进电机: 一般电动机都是连续旋转,而步进电动却是一步一步转动的,故叫步进电动机。每输入一个脉冲信号,该电动机就转过一定的角度(有的步进电动机可以直接输出线位移,称为直线电动机。因此步进电动机是一种把脉冲变为角度位移(或直线位移的执行元件。 步进电动机的转子为多极分布,定子上嵌有多相星形连接的控制绕组,由专门电源输入电脉冲信号,每输入一个脉冲信号,步进电动机的转子就前进一步。由于输入的是脉冲信号,输出的角位移是断续的,所以又称为脉冲电动机。 随着数字控制系统的发展,步进电动机的应用将逐渐扩大。 步进电动机的种类很多,按结构可分为反应式和激励式两种;按相数分则可分为单相、两相和多相三种。 图1 反应式步进电动机的结构示意图 图1是反应式步进电动机结构示意图,它的定子具有均匀分布的六个磁极,磁极上绕有绕组。两个相对的磁极组成一组,联法如图所示。

模块中用到的45BC340型步进电机为三相反应式步进电机,下面介绍它单三拍、六拍及双三拍通电方式的基本原理。 1、单三拍通电方式的基本原理 设A相首先通电(B、C两相不通电,产生A-A′轴线方向的磁通,并通过转子形成闭合回路。这时A、A′极就成为电磁铁的N、S极。在磁场的作用下,转子总是力图转到磁阻最小的位置,也就是要转到转子的齿对齐A、A′极的位置(图2a;接着B相通电(A、C 两相不通电,转了便顺时针方向转过30°,它的齿和C、C′极对齐(图2c。不难理解,当脉冲信号一个一个发来时,如果按A→C→B→A→…的顺序通电,则电机转子便逆时针方向转动。这种通电方式称为单三拍方式。 图2 单三拍通电方式时转子的位置 2、六拍通电方式的基本原理 设A相首先通电,转子齿与定子A、A′对齐(图3a。然后在A相继续通电的情况下接通B相。这时定子B、B′极对转子齿2、4产生磁拉力,使转子顺时针方向转动,但是A、A′极继续拉住齿1、3,因此,转子转到两个磁拉力平衡为止。这时转子的位置如图3b所示,即转子从图(a位置顺时针转过了15°。接着A相断电,B相继续通电。这时转子齿2、4和定子B、B′极对齐(图c,转子从图(b的位置又转过了15°。

发展战略-步进电机原理及发展方向 精品

目录 摘要 (1) 英文摘要 (2) 一.简述 (3) 二.步进电机的工作原理 (3) 三.步进电机的分类 (3) 1.永磁式步进电机 (3) 2.反应式步进电机 (3) 3.混合式步进电机 (4) 四.步进电机的基本原理 (4) (一)反应式步进电机 (4) (二)感应子式步进电机 (4) 五.步进电机的一些参数 (6) 1.电机固有步距角 (6) 2.步进电机的相数 (6) 3.保持转矩(HOLDING TORQUE) (6) 六.步进电机的特点 (6) 七.步进电机的驱动系统 (7) 八.步进电机的主要特性 (8) 九.步进电机与伺服电机的区别 (8) 1. 控制精度不同 (8) 2. 低频特性不同 (8) 3. 矩频特性不同 (8) 4..过载能力不同 (9) 5..运行性能不同 (9) 6.速度响应性能不同 (9) 十.步进电机的发展方向 (9) 总结 (11) (12) 有关机械专业方面英语范文 (13) 有关机械专业方面英语范文译文 (15)

摘要 步进电机最早是在1920年由英国人所开发。1950年后期晶体管的发明也逐渐应用在步进电机上,这对于数字化的控制变得更为容易。以后经过不断改良,使得今日步进电机已广泛运用在需要高定位精度、高分解性能、高响应性、信赖性等灵活控制性高的机械系统中。在生产过程中要求自动化、省人力、效率高的机器中,我们很容易发现步进电机的踪迹,尤其以重视速度、位置控制、需要精确操作各项指令动作的灵活控制性场合步进电机用得最多。步进电机作为执行元件,是机电一体化的关键产品之一, 广泛应用在各种自动化控制系统中。随着微电子和计算机技术的发展,步进电机的需求量与日俱增,在各个国民经济领域都有应用。 步进电机是将电脉冲信号变换成角位移或直线位移的执行部件。步进电机可以直接用数字信号驱动,使用非常方便。一般电动机都是连续转动的,而步进电动机则有定位和运转两种基本状态,当有脉冲输入时步进电动机一步一步地转动,每给它一个脉冲信号,它就转过一定的角度。步进电动机的角位移量和输入脉冲的个数严格成正比,在时间上与输入脉冲同步,因此只要控制输入脉冲的数量、频率及电动机绕组通电的相序,便可获得所需的转角、转速及转动方向。在没有脉冲输入时,在绕组电源的激励下气隙磁场能使转子保持原有位置处于定位状态。因此非常适合于单片机控制。步进电机还具有快速启动、精确步进和定位等特点,因而在数控机床,绘图仪,打印机以及光学仪器中得到广泛的应用。步进电动机已成为除直流电动机和交流电动机以外的第三类电动机。传统电动机作为机电能量转换装置,在人类的生产和生活进入电气化过程中起着关键的作用。步进电机可以作为一种控制用的特种电机,利用其没有积累误差(精度为100%)的特点,广泛应用于各种开环控制。本文详细介绍了步进电机的原理,特点及发展方向。 关键词:步进电机脉冲步距角

步进电机的种类、结构及工作原理

步进电机的种类、结构及工作原理 步进式伺服驱动系统是典型的开环控制系统。在此系统中,执行元件是步进电机。它受驱动控制线路的控制,将代表进给脉冲的电平信号直接变换为具有一定方向、大小和速度的机械转角位移,并通过齿轮和丝杠带动工作台移动。由于该系统没有反馈检测环节,它的精度较差,速度也受到步进电机性能的限制。但它的结构和控制简单、容易调整,故在速度和精度要求不太高的场合具有一定的使用价值。 1.步进电机的种类 步进电机的分类方式很多,常见的分类方式有按产生力矩的原理、按输出力矩的大小以及按定子和转子的数量进行分类等。根据不同的分类方式,可将步进电机分为多种类型,如表5-1所示。 表5-1 步进电机的分类 2.步进电机的结构

目前,我国使用的步进电机多为反应式步进电机。在反应式步进电机中,有轴向分相和径向分相两种,如表5--1所述。 图5--2是一典型的单定子、径向分相、反应式伺服步进电机的结构原理图。它与普通电机一样,分为定子和转子两部分,其中定子又分为定子铁心和定子绕组。定子铁心由电工钢片叠压而成,其形状如图中所示。定子绕组是绕置在定子铁心6个均匀分布的齿上的线圈,在直径方向上相对的两个齿上的线圈串联在一起,构成一相控制绕组。图5--2所示的步进电机可构成三相控制绕组,故也称三相步进电机。若任一相绕组通电,便形成一组定子磁极,其方向即图中所示的NS极。在定子的每个磁极上,即定子铁心上的每个齿上又开了5个小齿,齿槽等宽,齿间夹角为9°,转子上没有绕组,只有均匀分布的40个小齿,齿槽也是等宽的,齿间夹角也是9°,与磁极上的小齿一致。此外,三相定子磁极上的小齿在空间位置上依次错开1/3齿距,如图5--3所示。当A相磁极上的小齿与转子上的小齿对齐时,B相磁极上的齿刚好超前(或滞后)转子齿1/3齿距角,C相磁极齿超前(或滞后)转子齿2/3齿距角。 图5-2 单定子径向分相反应式伺服步进电机结构原理图

三相六拍步进电动机

三相六拍步进电动机 三相六拍步进电动机是一典型单定子、径向分组、反应式伺服电机。它与普通电机一样,分为定子和转子两部分,其中定子又分为定子铁芯和定子绕组。定子铁芯由电工钢片叠压而成。定子绕组绕制在定子铁芯上,六个均匀分布齿上的线圈,在直径方向上相对的两个齿上的线圈串连在一起,构成一相控制绕组。三相步进电机可构成三相控制绕组,若任一相绕组通电,便形成一组定子磁极。在定子的每个磁极上,即定子铁芯上的每个齿上开了五个小齿,齿槽等宽,齿间夹角为9o,转子上没有绕组,只有均匀分布的40个小齿,齿槽等宽,齿间夹角为9o,与磁极上的小齿一致。此外,三相定子磁极上的小齿在空间位置上依次错开1/3齿距。当A相磁极上的小齿与转子上的小齿对齐时,B相磁极上的齿刚好超前或滞后转子齿轮1/3齿距角,C 相磁极上的齿刚好超前或滞后转子齿轮2/3齿距角。 步进电机广泛应用于对精度要求比较高的运动控制系统中,如机器人、打印机、软盘驱动器、绘图仪、机械阀门控制器等。矩角特性是步进电机运行时一个很重要的参数,矩角特性好,步进电机启动转矩就大,运行不易失步。改善矩角特性一般通过增加步进电机的运行拍数来实现。三相六拍比三相二拍的矩角特性好一倍,因此在很多情况下,三相步进电机采用三相六拍运行方式。“三相三拍”中的“三相”指定子有三相绕组;“拍”是指定子绕组改变一次通电方式;“三拍”表示通电三次完成一个循环。

1.三相单三拍运行方式 图9-3所示为反应式步进电动机工作原理图,若通过脉冲分配器输出的第一个脉冲使a相绕组通电,b,c相绕组不通电,在a相绕组通电后产生的磁场将使转子上产生反应转矩,转子的1、3齿将与定子磁极对齐,如果9-3(a)所示。第二个脉冲到来,使b相绕组通电,而a、c相绕组不通电;b相绕组产生的磁场将使转子的2、4齿与b 相磁极对齐,如果9-3(b)所示,与图9-3(a)相比,转子逆时针方向转动了一个角度。第三个脉冲到来后,是c相绕组通电,而a、b 相不通电,这时转子的1、3齿会与c组对齐,转子的位置如图9-3(c)所示,与图9-3(b)比较,又逆时针转过了一个角度。 当脉冲不断到来时,通过分配器使定子的绕组按着a相--b相--c相--a相……的规律不断地接通与断开,这时步进电动机的转子就连续不停地一步步的逆时针方向转动。如果改变步进电动机的转动方向,只要将定子各绕组通电的顺序改为a相--c相--b相--a相,转子转动方向即改为顺时针方向。 控制绕组通、断电的方式,称为分配方式。上述按a 相--b 相--c 相--a相……的通电方式和a 相--c相--b 相--a相……的通电方式,没来到一个脉冲时,只有一个控制绕组(定子绕组)通电,在一个循环周期内有三种不同的通电状态,这样的通电次序,称为单三拍分配方式。

西门子200系列PLC直流步进电机控制方法

直流步进电机plc控制方法 系统功能概述: 本系统采用PLC通过步进电机驱动模块控制步进电机运动。当按下归零按键时,电机1和电机2回到零点(零点由传感器指示)。当按下第一个电机运行按键时,第一个电机开始运行,直到运行完固定步数或到遇到零点停止。当按下第二个电机运行按键时,第二个电机开始运行,运行完固定步数或遇到零点停止。两电机均设置为按一次按键后方向反向。电机运行时有升降速过程。 PLC输入点I0.0为归零按键,I0.1为第一个电机运行按键,I0.2为第二个电机运行按键,I0.3为第一个电机传感器信号反馈按键,I0.4为第二个电机传感器信号反馈按键。 PLC输出点Q0.0为第一个电机脉冲输出点,Q0.1为第二个电机脉冲输出点,Q0.2为第一个电机方向控制点,Q0.3为第二个电机方向控制点,Q0.4为电机使能控制点。 所用器材: PLC:西门子S7-224xpcn及USB下载电缆。编程及仿真用软件为V4.0 STEP 7 MicroWIN SP3。 直流步进电机2个,微步电机驱动模块2个。按键3个。24V开关电源一个。导线若干。 各模块连接方法: PLC与步进电机驱动模块的连接:

驱动模块中EN+、DIR+、CP+口均先接3k电阻,然后接24V 电源。 第一个驱动模块CP-接PLC的Q0.0,DIR-接PLC的Q0.2,EN-接PLC的Q0.4 第二个驱动模块CP-接PLC的Q0.1,DIR-接PLC的Q0.3,EN-接PLC的Q0.4 注意: 1、PLC输出时电压为24V,故和驱动器模块连接时,接了3k 电阻限流。 2、由于PLC处于PTO模式下只有在输出电流大于140mA时,才能正确的输出脉冲,故在输出端和地间接了200欧/2w下拉电阻,来产生此电流。(实验室用的电阻功率不足,用200欧电阻时功率至少在24*24/200=2.88w,即用3w的电阻) 3、PLC与驱动模块连接时,当PLC输出低电平时不能将驱动模块电平拉低,故在EN-和DIR-上接了200欧/2W下拉电阻 驱动模块与电机接法: 驱动模块的输出端分别与电机4根线连接 电机传感器与PLC连接: 传感器电源接24v,信号线经过240欧电阻(试验中两个470电阻并联得到)与24v电源上拉后,信号线接到PLC的I0.3和I0.4

步进电机驱动器的技术发展

将“电机固有步距角”细分成若干小步的驱动方法,称为细分驱动,细分是通过驱动器精确控制步进电机的相电流实现的,与电机本身无关。其原理是,让定子通电相电流并不一次升到位,而断电相电流并不一次降为0(绕组电流波形不再是近似方波,而是N级近似阶梯波),则定子绕组电流所产生的磁场合力,会使转子有N个新的平衡位置(形成N个步距角)。 最新技术发展: 国内外对细分驱动技术的研究十分活跃,高性能的细分驱动电路,可以细分到上千甚至任意细分。目前已经能够做到通过复杂的计算使细分后的步距角均匀一致,大大提高了步进电机的脉冲分辨率,减小或消除了震荡、噪声和转矩波动,使步进电机更具有“类伺服”特性。 采用细分技术与步进电机精度提高的关系:步进电机的细分技术实质上是一种电子阻尼技术,其主要目的是减弱或消除步进电机的低频振动,提高电机的运转精度只是细分技术的一个附带功能。 步电机系统解决方案

细分后电机运转时对每一个脉冲的分辨率提高了,但运转精度能 否达到或接近脉冲分辨率还取决于细分驱动器的细分电流控制精度 等其它因素。不同厂家的细分驱动器精度可能差别很大;细分数越大精度越难控制。 真正的细分对驱动器要有相当高的技术要求和工艺要求,成本亦会较高。国内有一些驱动器采用对电机相电流进行“平滑”处理来取代细分,属于“假细分”,“平滑”并不产生微步,会引起电机力矩的下降。真正的细分控制不但不会引起电机力矩的下降,相反,力矩会有所增加。 对实际步距角的作用:在没有细分驱动器时,用户主要靠选择不同相数的步进电机来满足自己对步距角的要求。如果使用细分驱动器,则用户只需在驱动器上改变细分数,就可以大幅度改变实际步距角,步进电机的‘相数’对改变实际步距角的作用几乎可以忽略不计。 深圳市维科特机电有限公司成立于2005年,是步进电机产品的销售、系统集成和应用方案提供商。我们和全球产品性价比高的生产 厂家合作,结合本公司专家团队多年的客户服务经验,给客户提供有 步电机系统解决方案

三相六拍步进电机FX2NPLC控制

电气工程学院课程设计说明书 设计题目: 系别: 年级专业: 学号: 学生姓名:

指导教师: 电气工程学院《课程设计》任务书课程名称:电气控制与PLC课程设计 基层教学单位:电气工程及自动化系指导教师:

2、学生那份任务书要求装订到课程设计报告前面。 电气工程学院教务科 摘要 PLC是一种专门在工业环境下应用而设计的数字运算操作的电子装置。它采用可以编制程序的存储器,用来在其内部存储执行逻辑运算、顺序运算、计时、计数和算术运算等操作的指令,并能通过数字式或模拟式的输入和输出,控制各种类型的机械或生产过程。本设计是用PLC做三相六拍步进电机的控制核心,用按钮开关来实现对步进电机正、反转运行控制,而且正、反转切换无须经过停车步骤。其次可以通过对按钮的控制来实现对高、低速度的切换控制。 关键词:PLC控制三相六拍正反转运行高低速运行

目录 封皮 (1) 任务书 (2) 摘要 (3) 目录 (4) 第一章三相六拍步进电机的PLC控制及要求 (5) 1.1步进电机的工作原理 (5) 1.2三相六拍步进电机控制要求 (5) 1.3 步进电机的驱动 (6) 第二章参数选择 (7) 2.1 三相六拍步进电机的参数选择 (7) 2.2 PLC的选择 (7) 2.3 功率放大电路参数选择 (7) 第三章整体设计 (7)

3.1 PLC的I/O端口分配表 (7) 3.2 硬件接线图 (8) 3.3 程序流程图 (8) 3.4 状态转移图 (9) 3.5 步进梯形图 (10) 3.6 时序图 (12) 总结 (13) 参考文献 (14) 评审意见表 (15) 第一章三相六拍步进电机的PLC控制及要求 1.1步进电机的工作原理 电机的定子上有六个均布的磁极,其夹角是60o。各磁极上套有线圈,连成A、B、C三相绕组。转子上均布40个小齿。所以每个齿的齿距为θE=360o/40=9o,而定子每个磁极的极弧上也有5个小齿,且定子和转子的齿距和齿宽均相同。由于定子和转子的小齿数目分别是30和40,其比值是一分数,这就产生了所谓的齿错位的情况。若以A相磁极小齿和转子的小齿对齐,那么B相和C相磁极的齿就会分别和转子齿相错三分之一的齿距,即3o。因此,B、C极下的磁阻比A磁极下的磁阻大。若给B相通电,B相绕组产生定子磁场,其磁力线穿越B相磁极,并力图按磁阻最小的路径闭合,这就使转子受到反应转矩(磁阻转矩)的作用而转动,直到B磁极上的齿与转子齿对齐,恰好转子转过3o;此时A、C磁极下的齿又分别与转子齿错开三分之一齿距。接着停止对B相绕组通电,而改为C相绕组通电,同理受反应转矩的作用,

单片机三相单三拍步进电机

《单片机原理及应用》课程设计报告书 课题名称单片机控制步进电机 姓名 学号 专业 指导教师 机电与控制工程学院 2014 年5月30 日

任务书 单片机控制步进电机 步进电机是工业过程控制及仪表中的主要控制元件之一,它可以在机械结构中把丝杠的角度变成直线位移,也可以用它带动螺旋电位器,调节电压和电流,从而实现对执行机构的控制。在数字控制系统中,由于它可以直接接受计算机输出的数字信号,而不需要进行D/A 转换,所以使用起来十分方便。步进电机具有快速的启停能力和精度高的显著特点,在定位场合得到了广泛的应用。 步进电机实际上是一个数字/角度转换器,也是一个串行的数/模转换器。因此,需把并行的二进制转换成串行的脉冲序列,并实现方向控制。每当步进电机脉冲输入线上得到一个脉冲,它便沿着特定的方向走一步。 设计要求: 采用单片机来控制一个三相单三拍的步进电机工作。步进电机的旋转方向由正反转控制信号来控制。步进电机的步数由键盘输入,可输入的步数分别为3,6,9,12,15,18,21,24,27步。并且键盘具有键盘锁的功能,当键盘上锁的时候,步进电机是不接受输入步数的,也不会运转。只有当键盘锁打开并输入步数的时候,步进电机才开始工作。电机运转的时候有正转和反转指示灯指示。当电机在运转的过程当中,如果过热,则电机停止运转,同时红色指示灯亮.,同时警报响。

目录 1、绪论 (4) 2、方案论证(规划、选定) (7) 3、方案说明(设计) (7) 4、硬件方案设计 (8) 5、软件方案设计 (12) 6、调试 (13) 7、技术小结(结束语) (14) 8、参考文献 (15) 9、附录(源程序代码、电路图等) (16)

步进电机

摘要:介绍了步进电动机的发展史,及国内的现状和步进电动机未来的应用前景。并且阐述了步进电动机转速、角度、转矩的控制原理。本文阐述了一种步进电机控制器的设计方案,并绘制了原理图和PCB板图,撰写了程序源代码。实现了对步进电动机转速、角度的控制,并完成了实物的制作。这期间主要使用protel99se软件绘制原理图和制板,使用proteus7.1软件进行程序代码的仿真和功能的理论验证。最后通过硬件的调试验证程序代码的实际功能,完成对控制器的设计。 关键词:步进电动机;控制器。 Abstract:Introduction step enter electric motor of development history, and local present condition and step enter electric motor future of application foreground.And elaborated a step to enter electric motor to turn soon, angle, turn Ju of control principle.This text elaborated a kind of step enter electrical engineering controller of design project, and drew principle diagram and PCB plank diagram, composed a procedure source a code.Realization to step enter the electric motor turn soon, angle of control, and completion real object of creation.This period main usage the protel 99 se the software draw principle diagram and make plank, usage proteus 7.1 softwares carry on an imitate of procedure code true with the theories of the function verification.The end experiment certificate procedure a code through an adjust of hardware of actual function, completion design controller. Key words:Stepper Motor; Controller.

三相六拍步进电机控制系统设计

1 引言 课程设计任务和要求 课程设计任务: 设计一个三相步进电机控制系统,设计一个计算机步进电机程序控制系统,可以对步进电机的转速、转向以及位置进行控制。通过设计,掌握步进电机的工作原理、掌握步进电机控制系统的设计原理、设计步骤,进一步提高综合运用知识的能力。 要求完成的主要任务: (1)设计接口电路和驱动电路,对步进电机进行控制。 (2)选择控制算法,编写控制程序,实现三相步进电机在六拍工作方式下先正转90度,然后再反转60度,要求其速度可调,转向可控。 (3)写出设计说明书。 课程任务要求: (1)查阅资料,确定设计方案 (2)选择器件,设计硬件电路,并画出原理图和PCB图 (3)画出流程图,编写控制程序 (4)撰写课程设计说明书 2 步进电机的概述 步进电机的特点 1)一般步进电机的精度为步进角的3-5%,且不累积。 2)步进电机外表允许的温度高。步进电机温度过高首先会使电机的磁性材料退磁,从而导致力矩下降乃至于失步,因此电机外表允许的最高温度应取决于不同电机磁性材料的退磁点;一般来讲,磁性材料的退磁点都在摄氏130度以上,有的甚至高达摄氏200度以上,所以步进电机外表温度在摄氏80-90度完全正常。 3)步进电机的力矩会随转速的升高而下降。当步进电机转动时,电机各相绕组的电感将形成一个反向电动势;频率越高,反向电动势越大。在它的作用下,电机随频率(或速度)的增大而相电流减小,从而导致力矩下降。 4)步进电机低速时可以正常运转,但若高于一定速度就无法启动,并伴有啸叫声。步

进电机有一个技术参数:空载启动频率,即步进电机在空载情况下能够正常启动的脉冲频率,如果脉冲频率高于该值,电机不能正常启动,可能发生丢步或堵转。在有负载的情况下,启动频率应更低。如果要使电机达到高速转动,脉冲频率应该有加速过程,即启动频率较低,然后按一定加速度升到所希望的高频(电机转速从低速升到高速)。 步进电机的工作原理 步进电机是一种用电脉冲进行控制 ,将电脉冲信号转换成相位移的电机,其机械位移和转速分别与输入电机绕组的脉冲个数和脉冲频率成正比,每一个脉冲信号可使步进电机旋转一个固定的角度.脉冲的数量决定了旋转的总角度 ,脉冲的频率决定了电机运转的速度.当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度(称为“步距角”),它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速的目的。 步进电机的技术参数 1)空载启动频率 即步进电机在空载情况下能够正常启动的脉冲频率,如果脉冲频率高于该值,电机不能正常启动,可能发生丢步或堵转。在有负载的情况下,启动频率更低。如果要使电机达到高速转动,脉冲频率应该有加速过程,即启动频率较低,然后一定加速度升到所希望的高频(电机转速从低速升到高速)。 2)电机固有步距角 它表示控制系统每发一个步进脉冲信号,电机所转动的角度。电机出厂时给出了一个步距角的值,如86BYG250A型电机给出的值为°/°(表示半步工作时为°、整步工作时为°),这个步距角可以称之为‘电机固有步距角’,它不一定是电机实际工作时的真正步距角,真正的步距角和驱动器有关。 3)步进电机的相数 是指电机内部的线圈组数,目前常用的有二相、三相、四相、五相步进电机。电机相数不同,其步距角也不同,一般二相电机的步距角为°/°、三相的为°/°、五相的为°/°。在没有细分驱动器时,用户主要靠选择不同相数的步进电机来满足自己步距角的要求。如果使用细分驱动器,则‘相数’将变得没有意义,用户只需在驱动器上改变细分数,就可以改变步距角。

步进电机控制速度的方法

步进电机只能够由数字信号控制运行的,当脉冲提供给驱动器时,在过于短的时间里,控制系统发出的脉冲数太多,也就是脉冲频率过高,将导致步进电机堵转。要解决这个问题,必须采用加减速的办法。就是说,在步进电机起步时,要给逐渐升高的脉冲频率,减速时的脉冲频率需要逐渐减低。这就是我们常说的“加减速”方法。 步进电机转速度是根据输入的脉冲信号的变化来改变的,从理论上讲,给驱动器一个脉冲,步进电机就旋转一个步距角(细分时为一个细分步距角)。实际上,如果脉冲信号变化太快,步进电机由于内部的反向电动势的阻尼作用,转子与定子之间的磁反应将跟随不上电信号的变化,将导致堵转和丢步。 所以步进电机在高速启动时,需要采用脉冲频率升速的方法,在停止时也要有降速过程,以保证实现步进电机精密定位控制。加速和减速的原理是一样的。以加速实例加以说明:加速过程是由基础频率(低于步进电机的直接起动最高频率)与跳变频率(逐渐加快的频率)组成加速曲线(降速过程反之)。跳变频率是指步进电机在基础频率上逐渐提高的频率,此频率不能太大,否则会产生堵转和丢步。 步电机系统解决方案

加减速曲线一般为指数曲线或经过修调的指数曲线,当然也可采用直线或正弦曲线等。使用单片机或者PLC,都能够实现加减速控制。对于不同负载、不同转速,需要选择合适的基础频率与跳变频率,才能够达到最佳控制效果。指数曲线,在软件编程中,先算好时间常数存贮在计算机存贮器内,工作时指向选取。通常,完成步进电机的加减速时间为300ms以上。如果使用过于短的加减速时间,对绝大多数步进电机来说,就会难以实现步进电机的高速旋转。 深圳市维科特机电有限公司成立于2005年,是步进电机产品的销售、系统集成和应用方案提供商。我们和全球产品性价比高的生产厂家合作,结合本公司专家团队多年的客户服务经验,给客户提供有市场竞争力的步进电机系统解决方案。我们的主要产品有信浓(SHINANO KENSHI)混合式步进电机、日本脉冲(NPM)永磁式步进电机、减速步进电机、带刹车步进电机、直线步进电机、空心轴步进电机、防水步进电机以及步进驱动器、减振垫、制振环、电机引线、拖链线、齿轮、同步轮、手轮等专业配套产品。我们还供应德国TRINAMIC驱动芯片和日本NPM运动控制芯片。根据客户配套需要,我们还可以 步电机系统解决方案

步进电机工作原理特点及应用

步进电机工作原理,特点及应用 - 步进电机工作原理,特点及应用 一、前言 步进电机是将电脉冲信号转变为角位移或线位移的开环控制元件。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。这一线性关系的存在,加上步进电机只有周期性的误差而无累积误差等特点。使得在速度、位置等控制领域用步进电机来控制变的非常的简单。 虽然步进电机已被广泛地应用,但步进电机并不能象普通的直流电机,交流电机在常规下使用。它必须由双环形脉冲信号、功率驱动电路等组成控制系统方可使用。因此用好步进电机却非易事,它涉及到机械、电机、电子及计算机等许多专业知识。 目前,生产步进电机的厂家的确不少,但具有专业技术人员,能够自行开发,研制的厂家却非常少,大部分的厂家只一、二十人,连最基本的设备都没有。仅仅处于一种盲目的仿制阶段。这就给户在产品选型、使用中造成许多麻烦。签于上述情况,我们决定以广泛的感应子式步进电机为例。叙述其基本工作原理。望能对广大用户在选型、使用、及整机改进时有所帮助。 二、感应子式步进电机工作原理 (一)反应式步进电机原理 由于反应式步进电机工作原理比较简单。下面先叙述三相反应式步进电机原理。 1、结构: 电机转子均匀分布着很多小齿,定子齿有三个励磁绕阻,其几何轴线依次分别与转子齿轴线错开。 0、1/3て、2/3て,(相邻两转子齿轴线间的距离为齿距以て表示),即A与齿1相对齐,B

与齿2向右错开1/3て,C与齿3向右错开2/3て,A'与齿5相对齐,(A'就是A,齿5就是齿1)下面是定转子的展开图: 2、旋转: 如A相通电,B,C相不通电时,由于磁场作用,齿1与A对齐,(转子不受任何力以下均同)。 由此可见:电机的位置和速度由导电次数(脉冲数)和频率成一一对应关系。而方向由导电顺序决定。 不难推出:电机定子上有m相励磁绕阻,其轴线分别与转子齿轴线偏移1/m,2/m……(m-1)/m,1。并且导电按一定的相序电机就能正反转被控制——这是步进电机旋转的物理条件。只要符合这一条件我们理论上可以制造任何相的步进电机,出于成本等多方面考虑,市场上一般以二、三、四、五相为多。 3、力矩: 这样经过A、B、C、A分别通电状态,齿4(即齿1前一齿)移到A相,电机转子向右转过一个齿距,如果不断地按A,B,C,A……通电,电机就每步(每脉冲)1/3て,向右旋转。如按A,C,B,A……通电,电机就反转。不过,出于对力矩、平稳、噪音及减少角度等方面考虑。往往采用A-AB-B-BC-C-CA-A这种导电状态,这样将原来每步1/3て改变为1/6て。甚至于通过二相电流不同的组合,使其1/3て变为1/12て,1/24て,这就是电机细分驱动的基本理论依据。电机一旦通电,在定转子间将产生磁场(磁通量Ф)当转子与定子错开一定角度产生力F与(dФ/dθ)成正比 S

相关主题