搜档网
当前位置:搜档网 › 74Ls273输出口控制循环彩灯实验报告

74Ls273输出口控制循环彩灯实验报告

74Ls273输出口控制循环彩灯实验报告
74Ls273输出口控制循环彩灯实验报告

实验二:74LS273输出口控制循环彩灯

一、实验目的

1、了解74ls273的工作原理;

2、能够看懂8086工作的时序图,并且掌握8086总线的技术;

3、通过此实验,熟悉proteus7.9的基本操作和工作环境。

二、实验内容

用两片74ls273输出接口控制16只小灯,高、低4位交替闪烁实现一路循环彩灯。

三、实验原理

1、VSM是系统虚拟模型,8086CPU的仿真,我们用的proteus 版本是7.9。

2、8086总线写数据的时候,每四个时钟周期执行一条指令,地址加载到总线上,当ALE电平发生变化时,地址存到了锁存器中,过一小段时间WR变为低电平,当READY为高电平时,BHE和A0同时变为低电平,此时数据加载到外围设备。同样读数据也差不多,可以参照8086工作的时序图。

3、masm32是一款汇编编译器,它的使用很简单,在主菜单下敲入汇编代码!就可编译,但是需要做一些准备工作,首先要建立一个build.bat,然后每次写的程序名都要为sample.asm,编译的时候,在File菜单下,点击cmd prompt,在dos环境中输入build即可编译,若有错误,dos会有提示。

四、实验步骤

1、先打开记事本,在里面输入如下代码:

@ECHO OFF

ml /c /Zd /Zi sample.asm

link16 /CODEVIEW sample.obj,sample.exe,,,nul.def

2、然后将此文本保存为bat文件,名字为build.bat

3、安装masm32的时候已经把bin文件夹设置了全局变量

注意:以后每次写汇编程序时,要在当前文件夹(即此汇编所在文件夹),放入一个build.bat文件。还有,每次写的汇编文件名要保存为sample.asm,不然实验是绝对不会成功的,具体原因有两个,第一,汇编的编译是在根目录下进行的,所以必须要有build.bat;第二,因为build.bat中的简单代码只是针对sample.asm的,所以它只能编译名为sample.asm的汇编文件。

4、点击打开masm32的界面如下:

5、输入如下代码:

6、点击File,在它的下拉菜单里有cmd prompt这个选项,点击这个选项,出现dos界面如下:

7、输入build并敲回车键,编译结束,如下图

8、编译过后,在根目录下会产生几个新的文件如下图,sample.exe是最重要的,关系到下一部实验能否完成。

9、在proteus7.9中绘制电路图,最终的电路图如下:

10、接下来要做的事情就是将前面已经生成好的sample.exe 加载到8086里面,如下图所示:

11、接下来就是看一下这个电路的运行效果了,点击整个界面的最左下角,画面效果如图所示:

五、实验小结

本次实验做了两个下午,最后电路也生成了。在实验过程中有许多的问题,第一次运行的时候有两个错误,一个是8086的内存不够,就把内存参数改为了10000,第二个是电路的问题,有几个标签编辑错误以及有几根线连接错误,仔细排查了几遍之后都慢慢解决了。最后电路能运行,也是四个灯亮四个灯不亮的,交替闪烁。

本次实验也进一步掌握了许多理论知识,同时实验过程中也需要我们有足够的耐心和细心,这样才能尽快把事情做好。

单片机花样流水灯设计实验报告

**大学 物理学院 单片机花样流水灯设计实验 课题:花样流水灯设计 班级: 物理 *** 姓名: *** 学号: ……………

当今时代的智能控制电子技术,给人们的生活带来了方便和舒适,而每到晚上五颜六色的霓虹灯则把我们的城市点缀得格外迷人,为人们生活增添了不少色彩。 制作流水灯的方法有很多种,有传统的分立元件,由数字逻辑电路构成的控制系统和单片机智能控制系统等。本设计介绍一种简单实用的单片机花样流水灯设计与制作,采用基于MS-51的单片机AT89C51和发光二极管、晶振、复位、电源等电路以及必要的软件组成的以AT89C51为核心,辅以简单的数码管等设备和必要的电路,设计了一款简易的流水灯电路板,并编写简单的程序,使其能够自动工作。 本设计用AT89C51单片机为核心自制一款简易的花样流水灯,并介绍了其软件编程仿真及电路焊接实现,在实践中体验单片机的自动控制功能。该设计具有实际意义,可以在广告业、媒体宣传、装饰业等领域得到广泛应用。 关键字:AT89C51 单片机流水灯数码管

1. 单片机及其发展概况 单片机又称为单片微计算机,其特点是将微型计算机的基本功能部件(如中央处理器(CPU)、存储器、输入接口、输出接口、定时/计数器及终端系统等)全部集成在一个半导体芯片上。单片机作为一种高集成度微型计算机,已经广泛应用于工业自动化控制、智能仪器仪表、通信设备、汽车电子与航空航天电子系统、智能家居电器等各个领域。 2. Protues仿真软件简介 Protues以其数量众多的元件数据库、标准化的仿真仪器、直观的捕获界面、简洁明了的操作、强大的分析测试、可信的测试结果, 为电子工程设计节约研发时间,节省了工程设计费用。利用Protues软件设计一款通过数码管显示计数时间的流水灯电路及Keil C软件编程后,再将两者关联则可以简单快速的进行仿真。 【实验设计目标】 设计要求以发光二极管作为发光器件,用单片机自动控制,对8个LED 灯设计至少3种流水灯显示方式,每隔20秒变换一次显示花样,计时通过一个二位七段数码管显示。

plc设计实验报告

学院:信息工程学院班级: 学号: 姓名:

实验一:了解PLC的硬件构成与特性和编 程软件的使用方法 一、实验目的: 1.熟悉LG—K10S1型PLC的构成及特性。 2.掌握基本指令的使用方法。 3. 掌握PLC程序的编制和调试方法。 二、实验步骤: 1.按图接线;开关量输入信号/输出信号。 2.检查无误后,上电运行。 三、掌握PLC编程软件的使用方法: 1) 用户可以在KGL-DOS 或者GSIKGL中使用的程序,参数,变量/注释也适用与KGL for Windows。 2) PLC 系统由工程[Project]结构 KGL for Windows把用户自定义程序当成一个包括参数和变量/注释的工程[Project]来管理。 同时有允许用户把程序(*.PRG),参数*(.PMT),,变量(*.VAR),注释(*.CNT)各自保存起来,是这些单独的文件应用到别的工程[Project]中。 3) 用户友好接口 为创建,编辑和监视提供简单的和友好的接口。 4) 在线编辑 在在线方式下可以获得实时编辑。在在线条件下编辑的程序可以自动的下载、而不必停止PLC的硬件。 5) 从PLC监视信息 用户可以轻易的监视PLC的状态如:错误状态,网络信息和系统信息。 6) 调试和自诊断(LG MASTER-K系列) 在精确调试中可以得到取样跟踪,触发和强制I/O Enable。

实验二:多重输入电路及其拓展电路的编程、下载、调试 一、实验目的: 理解典型电路的特性与设计方法,多点输入对输出的影响。 二、实验内容: 多重输入电路的编程、下载、调试 三、实验步骤: 将上述继电器线路图转化成梯形图并输入PLC,并由此进一步熟悉和掌握编程软件。 通过实验操作,观察输入P00、P01、P02、P03对输出P10的影响。并变换输入和输出接点实验。 P00、P02、P01、P03为常开接点。 当P00、P01接通时,P10亮蓝灯。 P00、P03接通时,P10输出。 P02、P01接通时,P10输出。 P02、P03接通时,P10输出。 P00、P02、P01接通时,P10输出。 P00、P02、P03接通时,P10输出。 P00、P01、P03接通时,P10输出。 P02、P01、P03接通时,P10输出。 P00、P02、P01、P03接通时,P10输出。

《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告 ——彩灯循环控制电路设计 摘要 本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V 均为5V。运用了所学的555定时器、译码器、计数器与逻辑门 cc 电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在

实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

彩灯循环显示控制电路的设计与仿真EWB

南京信息工程大学实验(实习)报告 实验(实习)名称彩灯循环显示控制电路的设计与仿真实验(实习)日期 2012年11月16日得分指导教师裴晓芳 院电子与信息工程专业电子信息工程年级 10级班次2班姓名张蕾学号 20101305066 1.实验目的: 设计彩灯循环控制电路,要求该电路彩灯循环显示频率快慢可调,控制器具有8路输出。 2.实验内容: 彩灯由发光二极管模拟代替,该电路由555定时器、7490计数器和138译码器组成。7490计数器的时钟由555振荡器提供,改变555的振荡频率,即可以改变计数器的快慢,即可以控制彩灯闪烁的快慢。计数器的输出端作138译码器的输入信号,计数器输出不同数据,即可以控制138译码器得到8种不同的输出,控制彩灯的循环变化。 3.实验步骤: (1)根据电路图图1,从EWB元件器库中选择所需元器件,74LS138芯片、7490芯片、555发生器、发光二级管、电阻、电容、+Vdd电平、接地符号等连接电路,根据需要修改参数,完成后其文件名保存文件。 图1 (2)检查电路无误后,运行该电路观察发光二级管的闪烁情况,如图2所示。 图2

(3)改变555的振荡频率,重新运行电路,观察发光二极管的闪烁情况变化。进过实验,将1MΩ的电阻换为1KΩ的电阻,发现其闪烁的频率变快。 (4)给电路添加复位控制,复位按钮闭合彩灯输出,复位按钮断开彩灯熄灭,电路如图3所示,当space=0时,电路复位。 图3 4.实验分析和总结 通过实验,我学会了如何设计一个彩灯循环显示控制电路,掌握了芯片555定时器,7490计数器以及138译码器的工作原理,学会了创新设计,为以后的学习打下基础。

课程设计----四路彩灯显示系统设计

数字电路逻辑设计 实 验 报 告 设计题目: 专业班级: 姓名: 学号:

设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也 为4s。 (3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1 Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当 012Y YY =100时,01S S =01(右移),012Y YY =010时,01S S =10(左移),当012Y YY =001时01S S =11(并行置数)。 74LS194的输出端初态均为零,在开机瞬间,使移位控制端01S S 的状态被确 定下来,即 012Y YY =100时,01S S =01 右移串行数据输入端 SR 经脉冲信号经四分频电路和 通过两或门组成的节拍电路,使四路彩灯从右到左依次亮共 4秒 ,

左右来回循环的流水灯实验报告

青 岛 科 技 大 学 微机原理与接口技术综合课程设计(报告) 题 目 __________________________________ 指导教师__________________________ 学生姓名__________________________ 学生学号__________________________ _______________________________院(部)____________________________专业________________ 班 ______年 ___月 ___日 直流电机控制综合实验 周艳平 宋雪英 01 信息科学技术学院 计算机科学与技术0961 2012 12 27

摘要 (2) 1、单片机概述 (2) 2、仿真软件介绍 (2) 3、需求分析 (2) 一、课程设计目的 (3) 二、课程设计要求 (3) 三、实验内容 (3) 1、设计任务与要求 (3) 2、系统分析 (3) 1).硬件电路设计(画出原理图、接线图) (4) 2)软件框图 (5) 3、用keil建项目流程 (7) 4、程序清单 (7) 4、系统调试 (9) 四、设计总结(结论) (10)

摘要 近年来,随着电子技术和微型计算机的发展,单片机的档次不断提高,起应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到广泛的应用、成为现代电子系统中最重要的智能化的核心部件。而AT89C51就是其中一种,它是一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMO8位微处理器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。本课程设计介绍一种LED小灯控制系统的设计方法,以单片机作为主控核心,与按键、排阻、电阻、电容等较少的辅助硬件电路相结合,利用软件实现对LED灯进行控制。能够通过按键控制8个LED小灯从左到右依次点亮。 关键字:单片机、LED流水灯 1、单片机概述 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微 型计算机简称单片机,特别适用于控制领域,故又称为微控制器。 通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处 理器、存储器和I/O 接口电路等。因此,单片机只需要和适当的软件及外部设备相结合, 便可成为一个单片机控制系统。 单片机经过1、2、3、3 代的发展,目前单片机正朝着高性能和多品种方向发展,它 们的CPU 功能在增强,内部资源在增多,引角的多功能化,以及低电压底功耗。 2、仿真软件介绍 (1).Keil uv3 运行Keil uv3 新建工程:菜单“Preject->New Preject”,保存为*.uv2,选择芯片型号,copy否 新建文件:菜单“File->New”,保存为*.c,编写程序 将源文件添加到工程:在左侧project workspace的source group1点右键选择addfile加入*.c 设置工程:点菜单栏上的,选Target,设晶振值;选Output,点create Hex file以生成少些文件;选Debug,选择软件仿真(simulator)或硬件仿真(Keil Monitor)方式。 编译链接:点菜单栏上的进行编译,或点菜单栏上的进行编译链接,或点菜单栏上的进行重新编译链接,或点菜单栏上的停止编译。 编译链接后生成*.hex文件,可烧写到单片机。 (2).Proteus 使用Proteus仿真 点击单片机,在Program Files处选择*.hex文件,OK,进行仿真 RESPACK--8 排阻,就是好多电阻连载一起,有一个公共端,1端为公共端接VCC(上拉)或地(下拉) 一般接在51单片机P0口,因P0口内没有上拉电阻,不能输出高电平,所以要接上拉电阻。 3、需求分析

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。 本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。 由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。 编者 2010年于太科大

目录 绪论 (1) 一、课程设计题目 (3) 二、课程设计目的 (4) 三、课程设计基本要求: (4) 四、课程设计任务和具体功能 (5) 五、工作原理 (5) 六、设计总框图 (6) 七、电路元器件的说明 (6) 八、总电路图 (27) 九、调试与检测 (28) 十、误差分析: (28) 十一、设计心得体会。 (28) 附录 (28) 参考文献 (28) 一、课程设计题目:8路输出的彩灯循环控制电路

十六路彩灯控制电路实验报告

山东科技大学电工电子实验教学中心创新性实验研究报告 实验项目名称_16路彩灯控制电路___ 专题____________ 姓名学号_ 姓名学号_ 姓名学号_ 手机Email _ 专业电气工程及其自动化班级___ 指导教师及职称______ 开课学期2011 至_2012 学年_2 _学期提交时间2012 年 6 月28 日

一、实验摘要 设计一种利用发光二极管作为彩灯指示,实现发光二极管四种花样依次点亮,并能够实现这四种点亮方式自动状态切换的彩灯循环控制电路。实验按照效果预设、电路设计、仿真调试、实物实验连接与调试的步骤进行,在实验组三人的分工合作下完成对预定效果的实现。实验原理主要涉及数字电子技术和模拟电子技术知识,要求通过本实验既能加深对原有基础知识的熟悉和掌握,并实现在所学内容的基础上创新性设计和应用。本实验用到的元器件主要包括555定时器、16进制加减计数器、普通16进制加法计数器以及译码器,并以它们为各自核心分别构成了多谐振荡信号输出部分、彩灯状态编码输入部分、彩灯点亮花样切换控制部分和彩灯状态译码输出部分,从而实现在有高电平脉冲输入的情况下彩灯电路自动实现不同的闪烁效果。 二、实验目的 1.学会分析、设计和测试用555计时器构成的多谐振荡器。 2.熟悉掌握16进制计数器的不同工作状态的基本原理并在自主设计下实现不同状态之间的自动转换。 3.实现16个已编号LED灯四种方式的点亮花样的依次循环: ①从1号灯开始依次加法式顺次点亮至第16号灯; ②从16号灯开始依次减法式顺次点亮至第1号灯; ③1号灯和9号灯同时点亮,并且分别以它们为起点依次加法式顺次点亮至第8号和第16号灯,即1号灯点亮并依次加法式顺次点亮至第8号灯的同时9号灯点亮并依次加法式顺次点亮至第16号灯,然后重复一次; ④16号灯和8号灯同时点亮,并且分别以它们为起点依次减法式顺次点亮至第9号和第1号灯,即16号灯点亮并依次减法式顺次点亮至第9号灯的同时8号灯点亮并依次减法式顺次点亮至第1号灯,然后重复一次; 并且以上四种循环点亮方式要实现①→②→③→④的状态自动控制循环。 4.实现两片3线-8线译码器用作4线-16线译码器,并且要在彩灯点亮花样的要求下实现同一时间下的不同工作状态的组合,以控制彩灯不同的点亮或熄灭循环状态。 5.掌握电子电路安装和调试以及故障排除的方法,学会用Multisim软件对电路仿真。 6.通过查阅手册和文献资料,培养分析问题和解决问题的能力,培养创新思维和创新能力。 三、实验场地及仪器、设备和材料:

彩灯控制器实验报告

电工电子课程设计 实验报告 题目名称:彩灯控制器 指导教师: 姓名: 学号: 专业班级: 日期: 前言 电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它是电气信息类专业学生的重要基础实践课,也是工科专业的必修课,能巩固电子技术的理论知识,提高电子电路的设计水平,加强综合分析问题和解决问题的能力,进一步培养学生的实验技能和动手能力,启发学生的创新意识及创新思维。完成本次课程设计,对进行毕业设计及毕业后从事电子技术方面的工作都有很大的帮助。 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的

电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程 循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中 规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。 本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是 用发光二极管显示,实现可预置编程循环功能。 目录 前言 1 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

教科版四年级下册科学实验报告单

小学科学四年级下册实验操作(教科版)1.体验静电现象(P2)实验目的:让学生亲身体验静电现象 实验原理带同种电荷的物体相互排斥,带异种电荷的物体相互吸引实验器材:塑料梳子或笔、碎纸屑 、用梳过干燥头发的塑料梳子慢慢接近碎纸屑,观察有什么现象发1操作步骤:生。 、用梳过干燥头发的塑料梳子再一次靠近头发,观察有什么现象发2生。实验结论:带电体能吸引轻小物体。 实验名称2 ;不一样的电荷实验目的:认识正电荷和负电荷实验器材:气球、羊毛制品、木尺 1 将两个充气气球挨着悬挂在约米长的木尺,用羊毛制品分别摩擦步骤: 两个气球相互接触部位,观察有什么现象发生实验结论:同种电荷相互排斥,异种电荷相互吸引

3实验名称:小灯泡的构造实验目的了解小灯泡的构造是怎样的实验器材小灯泡实验步骤展示小灯泡,让学生看清灯泡的构成实验结论小灯泡是由玻璃泡、灯丝、金属架、连接点构成的 4 让小灯泡发光(P5)实验目的:利用电来点亮小灯泡实验原理只有电流通过灯丝时小灯泡才会发光 实验器材:导线 1 根、电池 1 节、小电珠 1 个。实验步骤:选择连接方式使小灯泡发光。 1、导线连接小灯泡的螺纹与电池底部的锌壳,电池铜帽与小灯泡的锡粒接触,观察现象。 、导线连接小灯泡的锡粒与电池底部的锌壳,电池铜帽与小灯泡螺纹接触,2观察现象。 、导线连接电池铜帽与小灯泡螺纹,小灯泡的锡粒与电池底部的锌壳接触,3观察现象。 、整理器材。4实验结论:小灯泡亮了。 (P7)连接带灯座的电路5 实验目的:连接带灯座的电路,让小灯泡亮起来一段导线和一节电池能点亮一个小灯泡实验原理根。1 实验材料:小灯

泡、小灯座、电池、电池盒各个、导线 2 实验步骤:组装电路、在电池盒的两端各连接好一根导线,把电池正确安装在电池盒里。1 2、用连接电池的两根导线的另一端接触小灯泡,确定能使小灯泡发光。 3、将小灯泡安装在灯座上,再连接上导线---小灯泡亮了。 4、拆分器材 5、整理器材。 6 连接串联电路p8实验目的:会使用串联方法连接电路实验原理;串联是电路的一种连接方式 实验器材:电池、电池盒、灯泡、灯座各2 个、导线 4 根。操作步骤: 1、把电池装入电池盒里,把灯泡装在灯座上。 2、用导线把电池、灯泡、逐个串接法连起来。使2个小灯泡同时亮起来。 3、拆分器材 4、整理器材。实验结论:串联是电路的一种连接方式。 7 连接并联电路p8实验目的:会使用并联方式连

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件: 74LS160计数器、74HC390计数器、74HC139译码管、脉冲发生器、数码管和必要的门电路,可以选用其他的计数器和集成电路,但必须给出原理说明 要求完成的主要任务: 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 设计要求 ①打开电源时,控制器可自动清零。 ②每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 ③确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 ④用EWB软件或者multisim软件或者Quartus软件完成仿真。 指导教师签名: 2008 年 6月 2日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1主要任务 (2) 2技术要求 (2) 3基本组成方框图 (2) 4设计方案 (3) 4.1数列循环部分 (3) 4.2数列显示部分 (7) 4.3脉冲信号的产生 (8) 4.4方案的确定 (9) 5单元电路的设计及其原理 (9) 5.1数列循环电路的设计 (9) 5.2序列显示电路的设计 (10) 5.2.1十进制自然序列的显示电路 (10) 5.2.2奇数序列显示电路 (11) 5.2.3偶数序列显示电路 (11) 5.2.4音乐序列显示电路 (12) 5.3脉冲产生电路的设计 (13) 5.4二分频电路的设计 (14) 5.5总电路图的设计 (14) 6仿真结果 (16) 6.1脉冲产生电路的仿真 (16) 6.2二分频电路的仿真 (17) 7测试结果分析 (18) 8体会与心得 (19) 9元件清单 (20) 10参考文献 (21)

数电彩灯

课程设计报告 课程名称数字电子技术 课题名称彩灯控制器 专业电气工程及其自动化 班级1284班 学号33 姓名汪文龙 指导教师张向华 2014年12月5日

湖南工程学院 课程设计任务书 课程名称:数字电子技术 题目:彩灯控制器 专业班级:电气工程及其自动化1284 班 学生姓名:汪文龙学号:33 指导老师:张向华 审批: 任务书下达日期2014年11月24日 设计完成日期2014年12月 5 日

设计内容与设计要求 一、任务与要求: 设计一个彩灯控制器,要求如下: 1.有8只彩灯(用发光二极管代替)。 2.自左至右或顺时针逐次点亮至全亮,然后逐次熄灭至全灭。 3.自右至左或逆时针逐次点亮至全亮,然后逐次熄灭至全灭。 4.闪烁,8只灯同亮、同灭、同亮、同灭。 5. 自主设计一个彩灯花样。 5. 按2、3、4、5循环工作。 二、设计要求: 1.电路设计要求思路清晰,给出整体设计框图和总电路图; 2.单元电路设计,给出具体设计思路和电路; 3.采用EWB、protous、multism中任一软件对电路进行仿真。 4.安装、调试电路; 5.写出设计报告;

主要设计条件 1.提供调试实验室; 2.提供调试面板,元件;

目录 一.设计总体思路,基本原理和框图(总电路图) (6) 1.1、总体思路: (6) 1.2基本原理 (7) 1.3总电路图 (9) 二、单元路设计电 (10) 2.1双向移位寄存器的设计 (10) 2.2二进制计数器与逻辑组合电路的设计... 错误!未定义书签。 三、仿真波形 .................................................... 错误!未定义书签。 3.1彩灯输出波形 ......................................... 错误!未定义书签。 3.2芯片74LS161连接四或门时输出波形.. 错误!未定义书签。 四、安装与调试步骤 (12) 五、故障分析与电路改进 (14) 六、总结与体会 (15) 七、附录(元器件清单) (16) 八、参考文献 (17)

四路彩灯报告

电子技术课程设计报告 设计名称:四路彩灯 姓名学号:秦江 021212224 同实验者:安煜 021212215 指导老师:卓郑安 完成学期: 2013-14(二)

一.目的意义及原理 本次课题设计的目的是: 1.共有四个彩灯,分别实现三个过程,构成一个循共12秒; 2.第一个过程要求四个彩灯依次点亮,共4秒; 3.第二个过程要求四个彩灯依次熄灭,共4秒,先亮者先灭; 4.最后四秒要求四个灯同时亮一下灭一下,共闪4下。 四路彩灯采用的是四位双向通用位移存储器74LS194,将四路彩灯接在Q0到Q4上,SR稳定接高电平,SL稳定接低点位,而DO到D3接周期为1秒的方波信号。CLK的信号频率为2Hz,这样才可以在D0到D3的一个周期内出现CLK的两个上升沿,Q0到Q3分别读到1和0 各一次,如图一。 图一 1Hz和2Hz方波信号 即正确的时钟信号在整个12秒的时间应该是前8秒为1Hz的频率,后4秒变为2Hz的频率,因此用555定时器产生2Hz的方波信号,再用D触发器分频产生1Hz的方波信号,如图二。 图二 1Hz和2Hz方波信号原理图

再来确定S1S0的信号,四种工作方式种剔除第一种S1S0为00的情况,那么S1S0应按01、10、11的顺序循环,可设为一个同步计数器,时钟周期为4秒,共三个状态。 图三四路彩灯原理图 二.Proteus仿真过程 1.元件清单 1)电源统一使用稳压源输出电压。 2)电阻阻值有一些微小变化,以元件中现有的相近阻值替代。 2.电路仿真过程和运行结果

在Proteus中画出用555产生的1Hz和2Hz方波信号仿真图,如下图所示: 图四 555产生的1Hz和2Hz方波信号仿真图 在Proteus中画出四路彩灯仿真图,点击运行,灯泡变亮。如下图所示: 图五四路彩灯仿真图 三.电路焊接与调试 在焊接开始前,首先要对元器件进行确认,是否符合要求并逐一检查是否有缺漏,然后对整个布局进行一个确认以便与焊接方便及美观。由于仿真图和原理

单片机流水灯实验报告

单片机流水灯实验报告 电子信息工程学系实验报告 课程名称:单片机原理及接口 实验项目名称:实验2 流水灯实验时间: xx-10-21 班级:电信092 姓名:蔡松亮学号: 910706247 一、实验目的: 进一步熟悉keil仿真软件、proteus仿真软件的使用。了解并熟悉单片机I/O口和LED灯的电路结构,学会构建简单的流水灯电路。掌握C51中单片机I/O口的编程方法和使用I/O口进行输入输出的注意事项。 二、实验原理: MCS-51系列单片机有四组8位并行I/O口,记作P0、P1、P2和P3。每组I/O口内部都有8位数据输入缓冲器、8位数据输出锁存器及数据输出驱动等电路。四组并行I/O端口即可以按字节操作,又可以按位操作。当系统没有扩展外部器件时,I/O端口用作双向输入输

出口;当系统作外部扩展时,使用P0、P2口作系统地址和数据总线、P3口有第二功能,与MCS-51的内部功能器件配合使用。 以P1口为例,内部结构如下图所示: 图 P1口的位结构 作输出时:输出0时,将0输出到内部总线上,在写锁存器信号控制下写入锁存器,锁存器的反向输出端输出1,下面的场效应管导通,输出引脚成低电平。输出1时,下面的场效应管截止,上面的上拉电阻使输出为1。作输入时:P1端口引脚信号通过一个输入三态缓冲器接入内部总线,再读引脚信号控制下,引脚电平出现在内部总线上。 I/O口的注意事项,如果单片机内部有程序存贮器,不需要扩展外部存贮器和I/O接口,单片机的四个口均可作I/O口使用;四个口在作输入口使用时,均应先对其写“1”,以避免误读;P0口作I/O 口使 用时应外接10K的上拉电阻,其它口则可不必;P2可某几根线作地址使用时,剩下的线不能作I/O口线使用;P3口的某些口线作第二功能时,剩下的口线可以单独作I/O口线使用。

彩灯循环控制电路

课程设计(论文) 题目名称________________ 彩灯控制器_______________ 课程名称______________ 电子技术课程设计____________ 学生姓名__________________ 刘标___________________ 学号 ____________ 0941201062 ____________ 系、专业___________ 电气工程系09电力一^班_______ 指导教师__________________ 陈邈___________________ 2011年12月9日

邵阳学院课程设计(论文)任务书 2?此表1式3份,学生、指导教师、教研室各1份。

四、参考资料和现有基础条件(包括实验室、主要仪器设备等) 主编张克农?数字电子技术?高教出版社出版?第一版.2006年 彭介华主编?电子技术课程设计指导》?高教出版社出版?第一版.2002年 曾建唐主编?《电工电子基础实践教程》?机械工业出版社.2002年 康光华主编.《电子技术基础》.高教出版社出版.第四版.1999年 电子电工实验室可以提供的主要仪器设备: 示波器型号规格VP-5220、电子学习机型号规格WL-V万用表MF10;以及分立元件、或中规模集成芯片。 五、进度安排 2011年11月28日-29日:收集和课程设计有关的资料,熟悉课题任务何要求; 2011年11月30日-12月1日:总体方案设计,方案比较,选定方案的论证及电路的工作原理; 2011年12月2日-7日:单元电路设计,参数计算,元器件选择,电路图; 2011年12月8日;整理书写设计说明书 2011年12月9日:答辩 六、教研室审批意见 教研室主任(签字):年月日 七卜主管教学主任意见 主管主任(签字):年月日 八、备注 指导教师(签字):学生(签字):刘标

基于8255A可编程并行接口的定时器循环彩灯实验报告

基于8255A可编程并行接口的定时器实验 ——循环彩灯实验 一、实验项目 用8255A可编程并行接口芯片,PA口作为显示输出口,PB口作为开关量输入口。由8051内部定时器1按方式1工作,发光二极管显示。要求编写程序模拟循环彩灯,PB口不同的三个开关按下,彩灯以不同的形式循环点亮:模式一(开关K1控制)L1-L2-…-L8右移逐个亮,后一个点亮的同时前一个熄灭,再再左移、全亮、全灭,循环显示;模式二(开关K2控制)L1L2-L7L8两个一起亮并右移,后面亮的同时前面熄灭,再左移、全灭、全亮,循环显示:模式三(开关K3控制)L4L5-L3L6-L2L7-L1L8,后面亮的同时前面熄灭,再反向、全灭、全亮,循环显示;时序间隔0.5秒。 二、实验目的 1.学习8051内部定时器的使用、编程方法,了解8255A芯片的结构及编程方法; 2.进一步掌握中断处理程序的编写方法及8255A并行接口读取开关数据的方法。 三、实验原理 1.定时常数的确定 定时器/计数器的输入脉冲周期与机器周期一样,为振荡频率的1/12。本实验中时钟频率为12.0 MHZ,现要采用中断方法来实现0.5秒延时,要在定时器1中设置一个时间常数,使其每隔0.05秒产生一次中断,CPU响应中断后将R0中计数值减一,令R0=0AH,即可实现0.5秒延时。 时间常数可按下述方法确定: 机器周期=12÷晶振频率=12/(12×106)=1us 设计数初值为X,则(216-x)×1×10-6=0.05,可求得X=15536 化为十六进制则X=3CB0H,故初始值为TH1=3CH,TL1=B0H 2.初始化程序 包括定时器初始化和中断系统初始化,主要是对IP、IE、TCON、TMOD的相应位进行正确的设置,并将时间常数送入定时器中。由于只有定时器中断,

8路彩灯控制器实验报告

《8路彩灯控制电路设计》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师:赵玲 2015年1 月7 日

目录 一、课程设计目的 (3) 二、课程设计要求 (3) (一)、彩灯控制器设计要求 (3) (二)、课程设计总体要求 (3) 三、课程设计内容 (3) (一)、设计原理分析 (3) (二)、器件选择 (5) (三)、具体电路连线及设计思路 (6) 1、时钟控制电路 (6) 2、花色控制电路 (7) 3、花色演示电路 (8) 4、总体电路图 (10) 四、实际焊接电路板思路及过程 (11) (一)、设计思路及电路图 (11) (二)、设计及焊接过程 (11) (三)、电路板展示 (12) 五、课程设计总结与体会 (13)

一、课程设计目的 1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。 2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。从而学会使用常用集成数字芯片进行电路设计。 3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。 4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。 5.培养自己的动手能力,团队协作能力。 二、课程设计要求 (一)、彩灯控制器设计要求 设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯闪烁; 2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式; 3.(选做内容)闪烁时实现快慢两种节拍的变换。 (二)、课程设计总体要求 (1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功; (3)每人独立完成一份设计报告。 三、课程设计内容 (一)、设计原理分析 1.基本原理如下:总体电路共分三大块。第一块实现时钟信号的产生和控制,利用555定时器连接电路实现该功能;第二块实现花型的控制及节拍控制,利用

流水灯实验报告

目录 1.设计说明和要求及目的 (2) 1.1简要说明 (2) 1.2任务和要求 (2) 1.3目的 (2) 2.系统方案 (3) 2.1原理框图 (3) 3. 电路设计计算与分析 (4) 3.1 555定时器的设计 (4) 3.2计数器的设计 (6) 3.3三进制循环计数器的设计 (9) 3.4数据选择器的设计 (10) 3.5开关清零的设计 (12) 3.6数码显示的设计 (13) 3.7总体电路的设计 (13) 4.电路计计算与分析 (15) 5.总结及心得 (16) 6.附录 (16) 7.参考文献 (17)

1.设计说明和要求及目的 1.1简要说明 利用控制电路可使彩灯按一定规律不断改变状态,不仅可获得良好的观赏效果,而且可以省电。 1.2任务和要求 (1)以LED数码管作为控制器的显示器件,他能自动地依次显示出数字0、 1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列)和0、 2、4、6、8(偶数列),然后又依次显示出自然数列、奇数列和偶数列,如此周而复始,不断循环。 (2)打开电源时控制器可自动清零,从电源接通时刻起,数码管最先显示自然数列,然后按规律循环。 (3)每个数字的一次显示时间基本相等,这个时间在0.5S到2S范围内连续可调。 (4)写设计说明书,画原理图。 1.3目的 巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能力,锻炼动手能力和逻辑思维能力。 通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 学会简单电路的实验调试和性能指标的测试方法,提高分析能力和进行数字电子电路实验的基本技能。

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

相关主题