搜档网
当前位置:搜档网 › 实验一、Cadence软件操作步骤

实验一、Cadence软件操作步骤

实验一、Cadence软件操作步骤
实验一、Cadence软件操作步骤

实验一基本门电路设计——电路仿真

一、实验内容:

完成CMOS 反相器的电路设计完成CMOS 反相器的电路设计

实验目的

掌握基本门电路的设计方法掌握基本门电路的设计方法

熟悉Cadence 的设计数据管理结构,以及定制设计的原理图输入、电路仿真、版图设计、版图验证工具的使用

二、实验目的:基于csmc05工艺,完成一个具有逻辑反相功能的电路

设计要求:设计要求:

1.反相器的逻辑阈值在Vdd/2附近,即噪声容限最大

2.反相器的版图高度限制为24微米,电源和地线宽度各为2微米

3.反相器宽度限制为mos 器件不折栅

4.为了给顶层设计留出更多的布线资源,版图中只能使用金属1和多晶硅作为互连线,输入,输出和电源、地线等pin脚必须使用金属1

5.版图满足设计规则要求,并通过LVS 检查

三、设计过程:

启动icfb

1.建立自己的设计库

2.用Virtuoso Schematic Composer 画电路图

3. 在Analog Design Environment中进行电路仿真

4. 用Virtuoso (XL)Layout Editer 画版图

5. 利用diva 工具进行DRC检查,用dracula进行DRC和LVS验证。

四、实验步骤

1.Cadence软件操作步骤:

(1).点击桌面虚拟机快捷方式图标;

(2).打开虚拟机(存放路径:F:\cadence);

(3).启动虚拟机

(4).单击右键,Open Teminal,弹出终端对话框,输入Cadence启动命令icfb&(&是后台运行的意思)。

2.. 新建一个库

建立自己的Design Lib

第一步:

CIW-> Tools-Library manager

第二步:File-New

弹出“New Library ”对话框,在“Name”项填写要建的design lib的名字,这里是“lesson1”,选择“Attach to an existing techfile”

第三步:

弹出”Attach Design Library to Technology File”对话框,在“Technology Library”中选择st02

3.新建一个电路图

(1)File->New->Cellview

(2)弹出“Create New File”对话框,“Library Name”项选择“lesson1”“Cell Name”项填入”inv”,“Tool”项选择”Composer-Schematic”“Tool”项确定后, 相应的“View Name”项会出现内容因而无需输入”,点击“OK”后就进入Virtuso Schematic。

(3).添加元器件并修改属性(快捷键i)

Schematic 窗口:Add->Instance,在“Add Instance ”中,点击Browse 在“Add Instance 中,点击Browse,在“Library Browser”中,选择需要添加的Instance,选择需要添加的Library:st02 Cell: mp,Cellview: symbol,

编辑元件的属性:修改MOSFET的Length=550nm, Total Width=6um

再添加一个NMOS管st02 cell:mn,Cellview: symbol。Length=550nm, Total Width=3um。

再从添加analogLib 库里添加电源vdd和地gnd

(4).画线

按快捷键w,画连线。

(4).添加输入输出管脚

按快捷键p,分别添加输入管脚in和输出管脚out,

(6).创建一个符号(Symbol)

Design->Creat Cellview->From Cellview。弹出窗口OK。最后生成一个符号,如下图。(这个符号可以修改,这里先不修改)

4.重新建一个电路图用来仿真

(1)在lesson1下新建一个原理图文件,文件名为inv_test。如下图。

(2).添加刚刚建好的lesson1里面的inv的symbol,analogLib里的vdd,gnd和vdc。电源电压DC V oltage设置成5。连接反相器输入端的vdc不用设置。

5.电路仿真

(1)原理图编辑窗口下,调出模拟仿真环境:Tools->Analog Environment,

(2).添加仿真模型(model):Setup-Model libraries,点Browse,找到CSMC05MMPDK的

模型文件S05mixdtssa0111.scs。选中后OK,section(opt)填入tt。然后点add,这样模型就添加上了,注意上面还有很多其他模型,需要去掉,选中上面其他的模型,然后Disable。OK

(3).选择仿真类型,这里我们先做个直流扫描

Analyses->choose, 点dc,下面点component Parameter, Select Parameter,

点连接反相器的电压源,弹出Select Component Parmeter,选择dc,下图所示。

设置扫描电压范围从0V——5V。如下图。OK

这里我们点输入端的连线和输出端的连线(或输出端的管脚)

(5).跑仿真,点击下图右下角的Netlist and Run。

弹出扫描结果,如下图。如果出错,根据网表描述修改错误。

五、退出系统

退出cadence时,弹出窗口,选择suspend。

实验一、Cadence软件操作步骤

实验一基本门电路设计——电路仿真 一、实验内容: 完成CMOS 反相器的电路设计完成CMOS 反相器的电路设计 实验目的 掌握基本门电路的设计方法掌握基本门电路的设计方法 熟悉Cadence 的设计数据管理结构,以及定制设计的原理图输入、电路仿真、版图设计、版图验证工具的使用 二、实验目的:基于csmc05工艺,完成一个具有逻辑反相功能的电路 设计要求:设计要求: 1.反相器的逻辑阈值在Vdd/2附近,即噪声容限最大 2.反相器的版图高度限制为24微米,电源和地线宽度各为2微米 3.反相器宽度限制为mos 器件不折栅 4.为了给顶层设计留出更多的布线资源,版图中只能使用金属1和多晶硅作为互连线,输入,输出和电源、地线等pin脚必须使用金属1 5.版图满足设计规则要求,并通过LVS 检查 三、设计过程: 启动icfb 1.建立自己的设计库 2.用Virtuoso Schematic Composer 画电路图 3. 在Analog Design Environment中进行电路仿真 4. 用Virtuoso (XL)Layout Editer 画版图 5. 利用diva 工具进行DRC检查,用dracula进行DRC和LVS验证。 四、实验步骤 1.Cadence软件操作步骤: (1).点击桌面虚拟机快捷方式图标; (2).打开虚拟机(存放路径:F:\cadence); (3).启动虚拟机

(4).单击右键,Open Teminal,弹出终端对话框,输入Cadence启动命令icfb&(&是后台运行的意思)。 2.. 新建一个库 建立自己的Design Lib 第一步: CIW-> Tools-Library manager 第二步:File-New 弹出“New Library ”对话框,在“Name”项填写要建的design lib的名字,这里是“lesson1”,选择“Attach to an existing techfile” 第三步: 弹出”Attach Design Library to Technology File”对话框,在“Technology Library”中选择st02

ORCAD实验报告

实验一 Pspice 软件的分析过程 【实验目的】: 熟悉PSpice 的仿真功能,熟练掌握各种仿真参数的设置方法,综合观测并分析仿真结果,并能够对结果进行分析与总结。 【实验内容】: 1、完成教材P150的例6.1.1、例6.1.2和例6.1.3,其原理图分别如图1-1、图1-2和图1-3所示,并对仿真结果进行分析和总结。 R1R31k R41k 2、对图1-4所示电路运行直流工作点分析(Bias Point Detail )。 根据PSpice 软件的仿真结果 ① 计算A 、B 之间的电压的值; ② 计算两个电源输出的电流值; ③ 如果在A 、B 之间用一根导线直接连接或接一电阻,问电路的工作状态有无变化。 VOFF = 0VOFF = 0R6C12n L14H 图1-2

【实验步骤】: 1. 图一均为直流分量,故对其进行静态分析。观察各点电流电压以及功率; 2. 图二,图三为交流分量,故使用时域分析观察电感电容的电压电流和功率随时间变化的 波形; 3. 对图1-4所示电路运行直流工作点分析。 【实验结果】: 一,对图一进行静态分析,所得结果如下 可以看出, R1与并联回路、R3与R4串联分压R3与R4串联的回路与R2并联分流。 二,对图二进行时域分析,波形如下图所示: R4R1图1-4

10V 0V SEL>> -10V V(L1:1) 10mW 0W -10mW W(L1) I(L1:1) Time 可以看出,电流经过电感时,相位减少了九十度,使得计算出的功率曲线成为如图所示结果对图三进行时域分析,波形如下图所示: 1.0mW 0W -1.0mW W(C1) 200uA 0A -200uA I(C1) V(C1:2)V(0) Time 可以看出,电流经过电容时,相位减少了九十度,使得计算出的功率曲线成为如图所示结果三,对图1-4所示电路运行直流工作点分析,结果如下 1. A、B两点间的电压值为0v。

cadence软件安装步骤说明

Cadence软件安装破解步骤 文档目录 1、安装准备工作 (2) 2、软件安装 (2) 3、软件破解 (4) 4、关于license (4) 5、环境配置 (6) 6、环境配置示例 (7)

Cadence公司软件安装步骤大同小异,这里就归类到一起,安装其所有软件均适用。 1、安装准备工作: 图形安装工具:iscape.04.11-p004 所要安装的软件包:如IC615等(几乎所有cadence软件的图形安装步骤都一样)。 破解文件:破解文件包括两个文件,以为patch文件,以为pfk 文件。 License:Cadence的license比较好找,也好制作。网上很多license,也可以自己制作。 2、软件安装: 1)、进入iscape.04.11-p004/bin/,运行iscape.sh进入软件安装图形界面,如下图所示。 说明:在选择软件安装路径是须注意,如果解压后有多个CDROM

文件夹,在该处选择到CDROM1下即可,其他CDROM包会自动加载。 2)、继续到以下界面,选中所要安装的软件,然后继续下一步: 3)、点击下一步到一下安装界面,进行配置。

点击“Start”开始安装。 4)、安装到一定完成后会弹出一些关于软件的配置,如OA库位置的设置等,若没有特殊要求更改的可一直回车。配置完成后可关闭图形安装窗口。 3、软件破解: 将破解文件复制到软件的安装目录下,运行patch文件跑完即可。但是需要注意的是32bit/64bit的软件破解文件有可能不是同一个patch文件,出现破解不完全。若是这样,会出现只能运行32bit或者64bit的软件,运行另一版本会提示license的错误。在找patch文件的时候需注意patch所适用的软件及版本。 4、关于License: 在网上能找到很多license可用,特别是eetop。也可以根据自己

cadence入门教程_修改版

Introduction to Cadence Customer IC Design Environment 熊三星徐太龙编写 安徽大学电子信息工程学院微电子学系

目录 1. Linux 常用命令 (3) 2. 软件的启动 (5) 3. 建立工程 (7) 4. 画原理图 (9) 5. 原理图仿真 (17) 6. 生成symbol (25) 7. 版图 (30) 8. DRC检查 (50) 9. LVS检查 (54) 10. PEX参数提取 (58) 11. 后仿真 (61)

1.Linux 常用命令 目前,电子设计自动化(Electronic Design Automation, EDA)工具多数都基于Linux操作系统,因此在学习使用EDA之前,有必要掌握一些Linux操作系统的基本命令。 1.mkdir mkdir命令让用户在有写权限的文件夹(目录)下建立一个或多个文件夹(目录)。其基本格式如下: mkdir dirname1 dirname2 ... (dirname 为文件夹或者目录的名字) 2.cd cd命令让用户进入一个有权限的文件夹(目录)。其基本格式如下: cd Filename (Filename为文件夹或者目录的名字) cd .. (.. 表示上一层文件夹或者目录) 3.ls ls命令用以显示一个文件夹(目录)中包含的文件夹(目录)或者文件。其基本格式如下: ls Filename (Filename为文件夹或者目录的名字) 如果ls命令后没有跟文件夹(目录)名字,显示当前文件夹(目录)的内容。 ls 命令可以带一些参数,给予用户更多相关的信息: -a : 在UNIX/Linux中若一个文件夹(目录)或文件名字的第一个字元为"." ,该文件为隐藏文件,使用ls 将不会显示出这个文件夹(目录)或文件的名字。如cshell 的初始化文件.cshrc,如果我们要察看这类文件,则必须加上参数-a。格式如下:ls –a Filename -l : 这个参数代表使用ls 的长(long)格式,可以显示更多的信息,如文件存取权,文件拥有者(owner),文件大小,文件更新日期,或者文件链接到的文件、文件夹。 4.cp cp命令用于文件夹(目录)或文件的复制。其基本格式如下: cp source target 将名为source的文件复制一份为名为target的文件。如果target 文件不存在,则产生文件名为target 的文件,如果target 文件存在,缺省时自动覆盖该文件。 cp file1 file2…dir 将文件file1 file2 ... 都以相同的文件名复制一份放到目录dir 里面。

cadence工具介绍

标签:cadence工具介绍 cadence工具介绍 主要是cadence的常用工具: (一)System & Logic Design & Verification 1、SPW:系统仿真工具,与matlab相似,但是比其专业,用于系统建模,常用于通信系统2、Incisive: 就是大家最常用的nc_verilog, nc_sim, nc_lauch,以及ABV,TBV的集合,仿真和验证功能很强大 (二)Synthesis & Place & Route 1、BuildGates:与DC同期推出的综合工具,但是在国内基本上没有什么市场,偶尔有几家公司用2、RTL Complil er:继BuildGates之后的一个综合工具,号称时序,面积和功耗都优于DC,但是仍然无法取代人们耳熟能详的DC 3、Silicon Ensemble & PKS: 硅谷早期做物理设计的工程师,几乎都用它。是第一个布局布线工具4、First Encount er & SoC Encounter: 继SE以后的很好的P&R工具,但是盗版太少,所以也只有大公司能用且都用,但是目前astro在国内有赶超之意5、Cetlic :噪声分析工具,权威6、Fire&Ice: 分布参数提取工具,国内很多人用synopsys的StarRC 7、VoltageStrom:静态功耗和动态功耗分析的很不错的工具,与s 的Power Complier相同。8、SingnalStrom:时序分析工具,唯一一个能建库的工具9、nanoroute : 很强大的布线器喔,但是不是一般人能用的到的。我也是在cadence实习的时候爽过的,比astro快十倍不止。 (三)custom IC Design 1、Virtoso:版图编辑工具,没有人不知道吧,太常用了,现在还有一个公司的laker 2、diva, dracula, assura: 物理验证工具,用的比较普遍,但是calibre是标准,很多公司都是用其中的一个和calibre同时验证,我好可怜,现在只能用herculus (四)数模混合信号设计这部分太多了,但是一个ADE的环境基本上都能包括,不细说了,打字都打累了(五)PCB A llego最为典型了,很多大公司都用的。 系统分类: 软件开发 | 用户分类: IC设计 | 来源: 原创 | 【推荐给朋 友】 | 【添加到收藏夹】 Cadence 是一个大型的EDA 软件,它几乎可以完成电子设计的方方面面,包括ASIC 设计、FPGA 设计和PCB 板设计。Cadence 在仿真、电路图设计、自动布局布线、版图设计及验证等方面有着绝对的优势。Cadence 包含的工具较多几乎包括了EDA 设计的方方面面。下面主要介绍其产品线的范围。 1、板级电路设计系统。 包括原理图输入、生成、模拟数字/混合电路仿真,fpga设计,pcb编辑和自动布局布线mcm电路设计、高速pcb版图的设计仿真等等。包括: A、Concept HDL原理图设计输入工具, 有for NT和for Unix的产品。

cadence实验报告

( 实验报告) 姓名:____________________ 单位:____________________ 日期:____________________ 编号:YB-BH-054179 cadence实验报告Cadence experiment report

工作报告| Work Report 实验报告cadence实验报告 PSpice Simulation Experience 6 20xx0xx21236 张双林 Work requirement : please read the exercise of page 117 from the spice book, but simulate the device model parameters and operational point at 30 degree and 100 degree. Please Run the TEMP analysis with Pspice and answer the question of the exercise Please hand out a e-report about your work I. Net-lists and circuit figure : And the net-list file goes : EX3 DC Analysys exapal1.2 .MODEL MELQ NPN BF=100 IS=1E-16 Q1 2 1 0 MELQ RB 3 1 200K RC 3 2 1K VCC 3 0 DC 5 .temp 30 100 .OP .END II. Simulation result : According to the output file, we can draw a table to contrast the difference: 第2页

orcad实验报告

竭诚为您提供优质文档/双击可除 orcad实验报告 篇一:orcad实验报告一 成绩 电路计算机辅助设计 实验报告 实验名称电路原理图的绘制实验班级电子xx姓名xx学号(后两位)xx指导教师xx实验日期20XX年11月10日实验一电路原理图的绘制 一、目的:熟悉在orcAD中的功能及画图操作步骤二、实验内容:1.画出电路图 (Vcc位于place/power/capsym.olb0位于 place/ground/source.olb下,ouT是place/netalias) 1.orcADcapture、orcADpspice、orcADLayout的功能是? 答:orcADcpture用于原理电路图设计;orcADpspice 用于电路模拟仿真;orcADlayout用于印制板电路设计2.说明电路设计流程与画电路图的步骤。 答:电路设计流程:①用orcADcpturecIs软件②新建

设计项目③配置元器件符 号库④进入设计项目管理窗口⑤启动电路图编辑器⑥绘制电路图⑦电路图的后处理和结果保存。 画图步骤:①调用pageeditor②绘制电路图③修改电路图④电路图的后处理和结果输出。 3.在orcADcapture电路编辑环境中,如何加载元件库? 答:在orcADcpture电路编辑环境中,选中library目录后,单击鼠标右键,屏幕上鼠标处弹出AddFile快捷菜单,单击AddFile弹出AddFiletoprojectFolder对话框,在c:\cadence\psD_14.2 \tools\capture\library目录下选择所需要的图形符号库文件添加到项目中。4.在orcADcapture电路编辑环境中,如何取用元件? 答:在orcADcpture电路编辑环境中,完成所需符号库添加后,单击某一库名称,该库中的元器件符号将按字母顺序列在其上方的元器件符号列表框中。然后通过元器件符号列表框右侧的滚动条查找元器件名称或在part文本框中键入欲查找的元器件符号名称。若所选符号正是要求的元器件符号,按“ok”按钮,该符号即被调至电路图中。 5.在orcADcapture电路编辑环境中,如何放大和缩小窗口显示比例?答:Zoomin和Zoomout放大和缩小窗口显示比例。

cadence入门教程

本文介绍cadence软件的入门学习,原理图的创建、仿真,画版图和后仿真等一全套过程,本教程适合与初学着,讲到尽量的详细和简单,按照给出的步骤可以完全的从头到尾走一遍,本教程一最简单的反相器为例。 打开终端,进入文件夹目录,输入icfb&启动软件,主要中间有个空格。 启动后出现下图: 点击Tools的Library Manager,出现如下: 上面显示的是文件管理窗口,可以看到文件存放的结构,其中Library就是文件夹,Cell就是一个单元,View就是Cell的不同表现形式,比如一个mos管是一个Cell,但是mos管有原理图模型,有版图模型,有hspice参数模型,有spectre参数模型等,这就列举了Cell的4个View。他们之间是树状的关系,即,Library里面有多个Cell,一个Cell里面有多个View。应该保持一个好习惯就是每个工程都应该建立一个Library,Cell和View之间的管理将在后面介绍。

现在建立工程,新建一个Library,如下左图,出现的对话框如下有图: 在上右图中选择合适的目录,并敲入名字,这里取的是inv,这就是新建的文件夹的名字,以后的各种文件都在这个文件夹下。OK后出现下面对话框 这个对话框是选择是否链接techfile,如果只是原理图仿真而不用画版图,就选择Dont need a techfile,这里我们要画版图,而且有工艺库,选择Attach to an existing techfile,OK 后出现下面对话框:

在technology Library选择tsmc18rf,我们使用的是这个工艺库。Inv的文件夹就建好了,在Library Manager就有它了,如下图: 文件夹建好了后,我们要建立原理图,在inv的Library里面新建Cell如下:

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

Cadence环境安装(PClinux虚拟机版)

Cadence环境安装(Pclinux虚拟机版) 一、所需软件,到B318 EDA实验室第6、7、18号计算机的E盘根目录获取源文件: Vmware虚拟机7.1.1破解版629M Cadence虚拟机版免安装程序10.2G 二、操作步骤 1.安装Vmware至本地路径,注意所需空间大小,安装完后重启PC 2.拷贝IC_workstation至硬盘分区目录,如D:\ 3.启动Vmware7.1.1,打开IC_workstation文件夹中虚拟系统文件 IC_Workstation_RHEL_AS4.vmx 图1 Vmware启动及IC_Workstation_RHEL_AS4.vmx引导点击“电源启动”图标 4.登录系统,用户名为zpshao,密码为ustcer 三、启动cadence

1.在linux环境下,通过shell浏览本地文件,点击右键“open terminal”,键入命令“ls”, 可显示当前路径下的文件列表,如图2所示,从文件夹名称可看到cadence的层次模块 图2 linux虚拟机启动后默认路径 其中project为cadence工作路径,键入“cd project”命令进入该文件夹并查看内容 图3 project文件列表 键入命令,启动cadence,如图4所示,根据参考资料,可浏览Cadence工作界面 图4 Cadence工具栏 2.建立工作区域共享文件夹,以方便XP下文件复制与linux下的使用。点击Vmware工具 栏“虚拟机”下拉菜单中“设置”,在弹出选项卡中选择“选项”---“共享文件夹”,选择本地预设文件夹,名称中不要有空格、中文,如图5所示

cadence 软件安装步骤

一,linux 系统环境设置 1,点选系统->管理->用户和组群,输入root密码,在弹出的用户管理者中双击你所新建的用户,在弹出的用户属性对话框中,将登陆shell改为/bin/csh 2,点选系统->首选项->文件管理,在弹出的文件管理首选项对话框中,选行为标签,行为这一项上,勾选总是在浏览器窗口中打开 3,重启linux操作系统 二,cadence软件安装 1,在自己用户目录下新建cadence文件夹。 2,假设要安装的cadence软件放在移动硬盘上,移动硬盘名称为dianke,如IC5141.tar的路径为/dianke/cadence/IC5141.tar 3,打开自己的主文件夹,进入刚才新建的cadence文件夹中,空白处单击鼠标右键,选择打开新的终端 4,在弹出的终端中输入: tar xvf /media/dianke/cadence/IC5141.tar (/media/dianke/cadence/IC5141.tar为IC5141.tar压缩包的路径) 解压完后再输入:tar xvf /media/dianke/cadence/ASSURA.tar 解压完后再输入:tar xvf /media/dianke/cadence/MMSIM60.tar 5,将ASSURA文件夹重命名为:ASSURA315 6,三个压缩包解压完后,进入自己的主文件夹,进入cadence文件夹,将刚才解压后得到的三个文件夹中的tools快捷方式删除,然后选中tools.lnx86文件夹,点击鼠标右键,选中创建链接,将新创建的链接重命名为tools(注意:三个文件夹都要进行同样的操作)7.将lic_new.txt文件拷贝到cadence文件夹中 8打开lic_new.txt,将其中的第一、二两行(server和daemon)用#号注释掉 三、cadence软件配置 1,将cdsinit和cshrc两个文件拷贝到自己的主文件夹下 2,打开cdsinit文件,将两行中的路径/opt/Cadence/IC5141/tools.lnx86/dfII/samples/local/schBindKeys.il 改为如/home/dianke/cadence/IC5141/tools.lnx86/dfII/samples/local/schBindKeys.il (其中/home/dianke/cadence/为自己IC5141解压后的真实路径)(注意;两行都需要修改为自己的真实路径) 3,打开cshrc文件,修改如下两行: setenv CDN_PATH /opt/Cadence 将路径修改为自己的真实路径,如:/home/dianke/cadence setenv CDS_LIC_FILE /opt/license/lic_new.txt 将路径修改为自己的真实路径,如:/home/dianke/cadence/lic_new.txt 4,将刚才修改的两个文件:cdsinit和cshrc重命名为:.cdsinit和.cshrc 5,进入自己的主文件夹,空白处单击右键,选择打开终端,在终端中输入source .cshrc 四,cadence软件模拟设计配置 1,拷贝simc18.tar压缩包至自己的主文件夹下,选中右击,选中解压到此处 2,解压完后,进入解压得到的文件夹,将其中的cds.lib拷贝至自己的主文件夹下 3,在自己的主文件夹下新建文件夹design

实验报告cadence实验报告三篇_082文档

2020 实验报告cadence实验报告三篇 _082文档 EDUCATION WORD

实验报告cadence实验报告三篇_082文档 前言语料:温馨提醒,教育,就是实现上述社会功能的最重要的一个独立出来的过程。其目的,就是把之前无数个人有价值的观察、体验、思考中的精华,以浓缩、系统化、易于理解记忆掌握的方式,传递给当下的无数个人,让个人从中获益,丰富自己的人生体验,也支撑整个社会的运作和发展。 本文内容如下:【下载该文档后使用Word打开】 PSpiceSimulationExperience6 20xx0xx21236张双林 Workrequirement: pleasereadtheexerciseofpage117fromthespicebook,butsimulat ethedevicemodelparametersand operationalpointat30degreeand100degree. PleaseRuntheTEMPanalysiswithPspiceandanswerthequestionoft heexercisePleasehandoutae-reportaboutyourworkI. Net-listsandcircuitfigure: Andthenet-listfilegoes: EX3DCAnalysysexapal1.2

.MODELMELQNPNBF=100IS=1E- 16Q1210MELQRB31200KRC321KVCC30DC5.temp30100.OP.END II.Simulationresult: Accordingtotheoutputfile,wecandrawatabletocontrastthediff erence: a. b. III.Conclusion: Withaninspectionofthecircuitparametersindifferenttemperat ures,wecanfindthatthevalueofISchangeslargely,about1000tim es,andGM,about16percent,whileabout500mvdecreasingonVCEcau sed.SothebehaviorofTransistorscanbeaffectedbytemperatures largely.

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

cadence入门教程

Cadence 系列软件从schematic到layout入门 一.客户端软件使用及icfb启动 要使用工作站上的软件,我们必须在PC中使用xwinpro等工具连接到工作站上。从开始菜单中,运行xwinpro的xSettings,按照下图设置: 点击上图的Settings在出现的窗口中按如下设置(connect host选择为192.168.1.137):

设置完后,从开始菜单中运行xwinpro的xsessions,应该就可以进入登陆界面,用户名为user1,密码为root。 二、Schematic Cadence系列软件包含了电路图工具Schematic,晶体管级电路仿真工具Spectre,以及版图工具Virtuoso等。一般来说,我们先用Schematic画好电路原理图然后进行仿真,最后用Virtuoso手动画版图或者直接进行版图综合,最后对版图进行L VS,DRC等验证。 在登陆进工作站后,点击鼠标右键,选择tools——>terminal,在弹出的terminal窗口中敲入命令icfb&就可以启动cadence了。 图1 icfb的主界面 我们以建立一个反相器电路为例子: 在icfb中,任何一个电路,不论是已经存在的可以引用的库,还是用户新建立的一个电路,都是一个library. 一个library一般有若干个Cell(单元电路),每个cell有若干个

schematic(电路原理)和若干个layout(版图)。所以,我们要做的第一步,就是先创建一个自己的“库”,File菜单->new->library 图2 新建一个库的界面 从这个新建一个library的界面,我们必须输入新建立的库的名称,并且选择好这个库应该存放的目录,然后注意看右边的三个选项,关于新建立的库是否需要链接到Technology File 的问题。首先,这个Technology File一般是指工艺库,由Foundry提供。如果最终做的电路是需要画出Layout(版图)的,就必须要有工艺库,如果不需要画Layout,那就可以不需要工艺库。由于我们需要演示这一步,所以就选择Attach to an existing techfile。(也可以在建立之后,再Attach to an existing techfile)。 输入name: testinv, (大家在做的时候自己起一个名字)。 现在,我们就已经建立好了一个新的“库”,为了给这个库增加schematic(电路图)和Layout(版图)我们就必须对这个库进行“管理”,从icfb的主菜单(图1)中的Tools菜单->Library Manager.

优秀的cadence实验报告作业

Cadence 实验报告集成运算放大器设计 班级:微电子与固体电子3 班姓名: ** 学号:********* 运用cadence 软件设计运算放大器集成电路版图

目录 一、实验要求 (3) 二、实验目的 (3) 三、实验内容 (3) 1.打开cadence 界面 (3) 2.建立Libarary (3) 3. 绘制原理图 (4) 4. 原理图仿真 (5) 5. 版图设计 (7) 6. 版图DRC 验证 (9) 四、实验总结 (9)

实验报告 一、实验要求 实验为在Cadence 软件环境下自己设计一个放大器,绘制放大器版图并利用Cadence 环境下的Dracular 进行DRC 验证。要求通过实验熟悉版图设计,可以熟练使用各种快捷键,并在版图设计中使用共质心等设计来减小电路可能产生的二级效应。 二、实验目的 通过自行设计一个运算放大器了解集成电路版图设计的流程,从建立一个libarary 和cellview 到可以独立完成一个普通运放的原理图绘制、仿真、版图设计、版图验证。熟悉cadence 软件的使用,用各种软件环境完成版图。学会在设计中发现问题解决问题,如调整管子的宽长比来提高增益获得更好的波形,使用共质心画法消除一定二级效应等。 三、实验内容 1.打开cadence 界面 首先要进入linux 操作环境,之后在linux 下输入指令打开cadence,我用的是实验室的V20z 服务器,在实验室机器桌面上找到Xmanager 进入然后双击Xbrowser 找到对应服务器V20z 点击进入输入帐号密码便可进入linux 操作界面。打开终端(Terminal)之后输入命令icfb&之后可以看到CIW 窗口,标志正式进入cadence 操作环境。 2.建立Libarary 如图1 所示,在CIW 窗口中进入libarary manager,依次点击file-New-Libarary 即可进入新建libaray 窗口,匹配一个工艺库后就能建立一个自己的Libarary,我用的是0.18um 的工艺。选择工艺的窗口如图2 所示。

OrCAD电路的参数分析实验报告

实验四 电路的参数分析 一、实验目的 1、了解对电子电路进行各种参数分析(包括全局参数、模型参数以及温度)的功能。 2、通过对实际电路进行各种参数分析,掌握分析设置方法。 二、实验内容 1、针对实验三的单管放大电路,所有电阻均采用Rbreak 模型,设置其电阻温度系数为tc1=0.01,tc2=0.0005。在交流分析的基础上,对该电路进行温度分析,温度值设定为20℃、35℃、50℃、70℃,观察输出电压最大值的变化。 2、在瞬态分析的基础上,对电阻R3进行参数分析,其电阻值从15k ~30k 变化,观察输出波形曲线簇。 3、在瞬态分析的基础上,输入信号电压从5mv ~30mv 变化时,观察输出波形曲线簇, 4、在交流分析的基础上,使三级管Q1的放大倍数由200变化到350,观察输出电压最大值的变化。 三、实验电路 R2Rbreak 45k OUT R1Rbreak 1k Q1 Q2N2222 R6Rbreak 1k C110U V2 12Vdc C310U PARAMETERS: B = 200 PARAMETERS: RF = 10K R4Rbreak 2.4k R5Rbreak 20k V1FREQ = 1KHZ VAMPL = {VA}VOFF = 0V V R3Rbreak {RF}C2 10U PARAMETERS: VA = 1MV

四、实验过程 (一)温度分析 1、参数设置如下: 对所有的Rbreak模型,设置其电阻温度系数为tc1=0.01 tc2=0.0005。只需选定一个Rbreak模型,打开Edit->PSpice model 进行相应的设置:.model Rbreak RES R=1 tc1=0.01 tc2=0.0005。 需要注意的是,运交流分析时正弦信号的幅度值10mv必须要在Property Editor 中去添加,直接双击V AMPL添加的值不能应用到信号源中。而且交流分析应用对数扫描频率值,与FREQ无关,要根据输出波形来调节扫描的范围及步长。

Cadence 16.6 破解版下载+破解文件

Cadence是一款专业的pcb设计软件,具有电子设计自动化和仿真设计的功能,Cadence 16.6 破解文件可以帮助用户完美激活Cadence。 1.首先下载Cadence Allegro SPB orCAD16. 6 安装包,下载之后运行其中的setup.exe,然后先安装第一项License Manager 2.接下来安装Product,直到安装结束(这个时间有点长) 3.安装完成后,点Exit退出 4.按ctrl+alt+delete打开任务管理器,查看进程里是否有cdsNameServer.exe或cdsMsgServer.exe, 一般都没有,如果有将其结束掉 5.把安装路径下的cadence\SPB_1 6. 6\tools\pspice目录下的orsimsetup.dll剪切到别的地方 6.下载破解压缩包 7. 下载后解压缩,将破解包里aspirin\Cadence\LicenseManager目录下的3个文件:LicenseManager.cmd、pubkey及pubkey.exe复制到安装路径的Cadence\LicenseManager目录下,双击运行其中的LicenseManager.cmd 8.将破解包里的aspirin\Cadence\SPB_16. 6\tools目录下的3个文件:pubkey、pubkey.ex e以及T ools.cmd复制到安装路径的Cadence\SPB_16.6\tools下,双击运行其中的Tools.cmd 9. 将破解包中aspirin\Cadence目录下的LicGen文件夹整个复制到安装路径的Cadence 目录下,将src.lic用记事本打开,将文档中“16.5”替换成“16.6”并保存。运行该文件夹中LicGen.cmd,之后将会产生一个license.lic 10.在电脑开始菜单的所有程序里找到cadence\LicenseManager\License servers configurationUnilily, 1.在电脑开始菜单中的程序里找到Licenseclient configuration Unility,点击运行后,不用填什么 直接点next,最后点finish 12.在电脑开始菜单中的程序里找到LmTools,点击运行 13.别忘了把刚才剪切到别处去的orsimsetup.dll粘贴回安装路径的cadence\SPB_16.5\tools\pspice
目录下; 到此,破解完成。不必重启电脑就可运行程序了

EDA实验实验报告

数字eda实验实验报告 学院:计算机科学与工程学院专业:通信工程学 号: 0941903207 姓名:薛蕾指导老 师:钱强 实验一四选一数据选择器的设计 一、实验目的 1、熟悉quartus ii软件的使用。 2、了解数据选择器的工作原理。 3、熟悉eda开发 的基本流程。 二、实验原理及内容 实验原理 数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路, 可以采用数据选择器进行选择再对该路信号加以利用。从多路输入信号中选择其中一路进行 输出的电路称为数据选择器。或:在地址信号控制下,从多路输入信息中选择其中的某一路 信息作为输出的电路称为数据选择器。数据选择器又叫多路选择器,简称mux。 4选1数据 选择器: (1)原理框图:如右图。 d0 、d1、d2、d3 :输入数据 a1 、a0 :地址变量 由地址码决定从4路输入中选择哪1路输出。 (2)真值表如下图: (3)逻辑图 数据选择器的原理比较简单,首先必须设置一个选择标志信号,目的就是为了从多路信 号中选择所需要的一路信号,选择标志信号的一种状态对应着一路信号。在应用中,设置一 定的选择标志信号状态即可得到相应的某一路信号。这就是数据选择器的实现原理。 三.实验内容 1、分别采用原理图和vhdl语言的形式设计4选1数据选择器 2、对所涉及的电路进行 编译及正确的仿真。电路图: 四、实验程序 library ieee; use ieee.std_logic_1164.all; entity mux4 is port( a0, a1, a2, a3 :in std_logic; s :in std_logic_vector (1 downto 0); y :out std_logic ); end mux4; architecture archmux of mux4 is begin y <= a0 when s = 00 else --当s=00时,y=a0 a1 when s = 01 else --当s=01时,y=a1 a2 when s = 10 else --当s=10时,y=a2 a3; --当s取其它值时,y=a2 end archmux; 五、运行结果 六.实验总结 真值表分析: 当js=0时,a1,a0取00,01,10,11时,分别可取d0,d1,d2,d3. 篇二:eda实验报告模版 《eda技术》实验报告

cadence指导详细版_

一、cadence软件及安装指导 1、安装虚拟机,安装过程中需要添加vmware7.0sn.txt中的Serial (注意:一旦安装成功不要轻易卸载,否则重装很费劲) 2、在windows下解压cadence文件夹下压缩包 3、双击桌面虚拟机图标,打开虚拟机,点击界面左上角FILE》》open》》在弹出的对话 框内找到刚刚解压的cadence文件夹下的cadenceEDA.vmx文件,点击“打开” 4、点击power on this virtual machine ,输入用户名zyx,密码123456 5、我们进入到了linux系统。 二、NCSU TSMC0.25um库的加载及cadecne的环境配置 1、直接将文件夹ncsu-cdk-1.5.1拷贝到linux系统桌面。(若直接复制不成功,可通过U 盘将其导入。) 2、打开桌面zyx’ Home目录(即文件夹),在里面新建目录VLSI,将桌面ncsu-cdk-1.5.1 剪切至VLSI目录下。

3、在桌面空白处单击鼠标右键,点击open Teminal 4、在终端内输入以下命令。 1、su root -------进入到超级用户 2、sunface8211200 (不可见,直接输入即可) 3、chmod a+w cds.lib ------修改cds.lib权限后,可以对其进行编写 4、vi cds.lib --------进入到vi 编辑器,单击键盘“i ”进入到插入模式, 在第一行我们添加一行语句。 INCLUDE /home/zyx/VLSI/ncsu-cdk-1.5.1/cdssetup/cds.lib 输入完之后,单击键盘“esc”键退出插入模式,再点击 键盘“:wq ”退出vi编辑器

相关主题